Saltar para o conteúdo

Usuário:Elder N/Testes

Origem: Wikipédia, a enciclopédia livre.

https://en.wikipedia.org/w/index.php?title=Zen_(first_generation)&oldid=1220628639

https://pt.wikipedia.org/wiki/Zen_(primeira_gera%C3%A7%C3%A3o)


AMD Zen
A microarquitetura Zen é empregada nos processadores da marca Ryzen
Informações gerais
Lançamento
2 março 2017; há 7 anos[1]
Projetado por
AMD
Fabricantes comuns
GlobalFoundries[2]
Código CPUID
Family 17h
Cache
Cache L1
64 KB de instrução, 32 KB de dados por núcleo
Cache L2
512 KB por núcleo
Cache L3
8 MB por CCX quad-core (APU: 4 MB)
Arquitetura e classificação
Conjunto de instruções
AMD64 (x86-64)
Especificações físicas
Transistores
14 nm (FinFET)[2]
Núcleos
Sockets
Soquete AM4[8]
Soquete TR4
Soquete SP3
Produtos, modelos, variantes
Codinomes de produto
Summit Ridge (Desktop)
Whitehaven (HEDT)
Raven Ridge (APU/Embedded)
Naples (Server CPU)
Snowy Owl (Server APU)[9]
Linhas
Ryzen
Ryzen Threadripper
Epyc
Athlon
História
Predecessor
Excavator (4th gen)
Sucessor
Zen+
Status de suporte
Ativo

Zen é o codinome da primeira iteração de uma família de microarquiteturas de processadores de computador de mesmo nome da AMD. Ele foi usado pela primeira vez com sua série de CPUs Ryzen em fevereiro de 2017.[3] O primeiro sistema de visualização baseado em Zen foi demonstrado na E3 2016 e detalhado pela primeira vez em um evento realizado a um quarteirão do Intel Developer Forum 2016. Os primeiros CPUs baseados em Zen, codinome "Summit Ridge", chegaram ao mercado no início de março de 2017, processadores de servidor Epyc derivados do Zen foram lançados em junho de 2017[10] e APUs baseadas em Zen chegaram em novembro de 2017.[11]

Zen é um design limpo que difere da antiga Bulldozer da AMD. Os processadores baseados em Zen usam um processo FinFET de 14 nm, são supostamente mais eficientes em termos de energia e podem executar significativamente mais instruções por ciclo. O SMT foi introduzido, permitindo que cada núcleo execute dois threads. O sistema de cache também foi redesenhado, tornando o cache L1 write-back. Os processadores Zen usam três soquetes diferentes: os chips Ryzen desktop e móvel usam o soquete AM4, trazendo suporte a DDR4; os chips Threadripper de desktop de última geração baseados em Zen suportam memória DDR4 de quatro canais e oferecem 64 pistas PCIe 3.0 (contra 24 pistas), usando o soquete TR4;[12][13] e processadores de servidor Epyc oferecem 128 pistas PCI 3.0 e DDR4 octa-channel usando o soquete SP3.

Zen é baseado em um design SoC.[14] O controlador de memória e os controladores PCIe, SATA e USB são incorporados no(s) mesmo(s) chip(s) que os núcleos do processador. Isso traz vantagens em largura de banda e potência, em detrimento da complexidade do chip e da área da matriz.[15] Este design de SoC permite que a microarquitetura Zen seja dimensionada de laptops e mini PCs de formato pequeno a desktops e servidores de última geração.

Até 2020, 260 milhões de núcleos Zen já foram fornecidos pela AMD.[16]

Design[editar | editar código-fonte]

Uma ilustração altamente simplificada da microarquitetura Zen: um núcleo tem um total de 512 KB de cache L2.
Ryzen 3 1200 Die Shot
Fotomontagem de uma CPU Zen delidded com uma matriz gravada.
Um processador AMD EPYC delidded usado em servidores; As quatro matrizes são semelhantes às usadas nos processadores convencionais. Todos os processadores EPYC contêm quatro matrizes para fornecer suporte estrutural ao IHS (Integrated Heat Spreader).[17][18][19]
Uma APU AMD Athlon 3000G delidded, baseada na arquitetura Zen. A matriz é fisicamente menor do que a dos processadores Zen convencionais.
Die shot de um AMD Athlon 3000G

Segundo a AMD, o foco principal do Zen é aumentar o desempenho por núcleo.[20][21][22]

Recursos novos ou aprimorados incluem:[23]

  • O cache L1 foi alterado de write-through para write-back, permitindo menor latência e maior largura de banda.
  • A arquitetura SMT (multithreading simultâneo) permite dois threads por núcleo, um desvio do design CMT (clustered multi-threading) usado na arquitetura Bulldozer anterior. Este é um recurso oferecido anteriormente em alguns processadore IBM, Intel e Oracle.[24]
  • Um elemento fundamental para todas as CPUs baseadas em Zen é o Core Complex (CCX) que consiste em quatro núcleos e seus caches associados. Processadores com mais de quatro núcleos consistem em vários CCXs conectados pelo Infinity Fabric.[25] Processadores com contagens de núcleos diferentes de quatro têm alguns núcleos desabilitados.
  • Quatro ALUs, duas AGUs/unidades de armazenamento de carga e duas unidades de ponto flutuante por núcleo.[26]
  • Recém-introduzido cache de microoperação "grande".[27]
  • Cada núcleo SMT pode despachar até seis microoperações por ciclo (uma combinação de 6 microoperações inteiras e 4 microoperações de ponto flutuante por ciclo).[28][29]
  • Largura de banda L1 e L2 quase 2× mais rápida, com largura de banda total do cache L3 até 5×.
  • Controle de clock.
  • Filas maiores de retirada, carregamento e armazenamento.
  • Predição de ramificação aprimorada usando um sistema perceptron hash com Indirect Target Array semelhante à microarquitetura Bobcat,[30] algo que foi comparado a uma rede neural pelo engenheiro da AMD Mike Clark.[31]
  • O preditor de ramificação é desacoplado do estágio de busca.
  • Um mecanismo de pilha dedicado para modificar o ponteiro de pilha, semelhante ao dos processadores Intel Haswell e Broadwell.[32]
  • Eliminação de movimentação, um método que reduz a movimentação física de dados para reduzir o consumo de energia.
  • Compatibilidade binária com o Skylake da Intel (excluindo VT-x e MSRs privados):
    • Suporte RDSEED, um conjunto de instruções de gerador de números aleatórios de hardware de alto desempenho introduzidas no Broadwell.[33]
    • Suporte para instruções SMAP, SMEP, XSAVEC/XSAVES/XRSTORS e CLFLUSHOPT.[33]
    • Suporte ADX.
    • Suporte SHA.
  • Instrução CLZERO para limpar uma linha de cache.[33] Útil para lidar com exceções de verificação de máquina relacionadas a ECC.
  • PTE (entrada de tabela de páginas) coalescente, que combina tabelas de páginas de 4 kB em tamanho de página de 32 kB.
  • "Pure Power" (sensores de monitoramento de energia mais precisos).[34]
    • Suporte para medição de limite de potência média de execução (RAPL) no estilo Intel.[35]
  • Pré-busca inteligente.
  • Aumento de precisão.
  • eXtended Frequency Range (XFR), um recurso de overclock automatizado que aumenta a velocidade do clock além da frequência turbo anunciada.[36]
Esta é a primeira vez em muito tempo que nós, engenheiros, temos total liberdade para construir um processador do zero e fazer o melhor que podemos. É um projeto plurianual com uma equipe muito grande. É como uma maratona com alguns sprints no meio. A equipe está trabalhando muito, mas consegue enxergar a linha de chegada. Garanto que ele proporcionará uma enorme melhoria no desempenho e no consumo de energia em relação à geração anterior.
— Suzanne Plummer, líder de equipe Zen, em 19 de setembro de 2015.[37]

A arquitetura Zen é construída em um processo FinFET de 14 nanômetros subcontratado à GlobalFoundries,[38] que por sua vez licencia seu processo de 14 nm da Samsung Electronics.[39] Isso proporciona maior eficiência do que os processos de 32 nm e 28 nm de CPUs AMD FX APUs AMD anteriores, respectivamente.[40] A família de CPUs Zen "Summit Ridge" usa o soquete AM4 e possui suporte a DDR4 e um TDP de 95 W (energia de design térmico).[40] Embora os roadmaps mais recentes não confirmem o TDP para produtos de desktop, eles sugerem uma linha para produtos móveis de baixo consumo com até dois núcleos Zen de 5 a 15 W e 15 a 35 W para produtos móveis orientados ao desempenho com até quatro núcleos Zen.[41]

Cada núcleo Zen pode decodificar quatro instruções por ciclo de clock e inclui um cache micro-op que alimenta dois agendadores, um para cada segmento de número inteiro e um ponto flutuante.[42] Cada núcleo possui duas unidades de geração de endereço, quatro unidades inteiras e quatro unidades de ponto flutuante. Duas das unidades de ponto flutuante são somadores e duas são somadores multiplicadores. No entanto, o uso de operações de adição múltipla pode impedir a operação de adição simultânea em uma das unidades somadoras.[43] Também há melhorias no preditor de ramificação. O tamanho do cache L1 é de 64 KB para instruções por núcleo e 32 KB para dados por núcleo. O tamanho do cache L2 é de 512 KB por núcleo e o L3 tem de 1 a 2 MB por núcleo. Os caches L3 oferecem 5x a largura de banda dos designs AMD anteriores.

História e desenvolvimento[editar | editar código-fonte]

A AMD começou a planejar a microarquitetura Zen logo após recontratar Jim Keller em agosto de 2012.[44] A AMD revelou formalmente o Zen em 2015.

A equipe responsável pelo Zen foi liderada por Keller (que saiu em setembro de 2015 após um mandato de 3 anos) e pela líder da equipe Zen, Suzanne Plummer.[45][46] O arquiteto-chefe do Zen foi o membro sênior da AMD, Michael Clark.[47][48][49]

O Zen foi originalmente planejado para 2017 seguindo o núcleo irmão K12 baseado em ARM64, mas no Financial Analyst Day de 2015 da AMD foi revelado que o K12 foi adiado em favor do design Zen, para permitir que ele entrasse no mercado dentro do prazo de 2016,[8] com o lançamento dos primeiros processadores baseados em Zen previsto para outubro de 2016.[50]

Em novembro de 2015, uma fonte dentro da AMD relatou que os microprocessadores Zen foram testados e "atenderam a todas as expectativas" sem "nenhum gargalo significativo encontrado".[2][51]

Em dezembro de 2015, houve rumores de que a Samsung poderia ter sido contratada como fabricante dos processadores FinFET de 14 nm da AMD, incluindo a arquitetura de GPU Zen e Polaris da AMD.[52] Isso foi esclarecido pelo anúncio da AMD em julho de 2016 de que os produtos foram produzidos com sucesso no processo FinFET de 14 nm da Samsung.[53] A AMD afirmou que a Samsung seria usada "se necessário", argumentando que isso reduziria o risco para a AMD, diminuindo a dependÊncia de qualquer fundição.

Em dezembro de 2019, a AMD começou a lançar produtos Ryzen de primeira geração construídos usando a arquitetura Zen+ de segunda geração.[54]

Vantagens sobre os antecessores[editar | editar código-fonte]

Processo de manufatura[editar | editar código-fonte]

Processadores baseados em Zen usam silício FinFET de 14 nm.[55] Esses processadores são supostamente produzidos na GlobalFoundries.[56] Antes do Zen, o menor tmanho de processo da AMD era de 28 nm, utilizado por suas microarquiteturas Steamroller e Excavator.[57][58] A concorrência imediata, as microarquiteturas Skylake e Kaby Lake da Intel, também são fabricadas em FinFET de 14 nm;[59] embora a Intel planejasse iniciar o lançamento de peças de 10 nm no final de 2017.[60] A Intel não conseguiu atingir essa meta e, em 2021, apenas chips móveis foram produzidos com o processo de 10nm. Em comparação com o FinFET de 14 nm da Intel, a AMD afirmou em fevereiro de 2017 que os núcleos Zen seriam 10% menores.[61] A Intel anunciou posteriormente em julho de 2018 que os processadores mainstream de 10 nm não deveriam ser esperados antes do segundo semestre de 2019.[62]

Para projetos idênticos, esses encolhedores de matriz usariam menos corrente (e energia) na mesma frequência (ou tensão). Como as CPUs geralmente têm potência limitada (normalmente até ~125 W ou ~45 W para dispositivos móveis), transistores menores permitem menor potência na mesma frequência ou maior frequência na mesma potência.[63]

Performance[editar | editar código-fonte]

Um dos principais objetivos do Zen em 2016 era focar no desempenho por núcleo e tinha como meta uma melhoria de 40% nas instruções por ciclo (IPC) em relação ao seu antecessor.[64] Excavator, em comparação, ofereceu uma melhoria de 4-15% em relação às arquiteturas anteriores.[65][66] A AMD anunciou que a microarquitetura Zen final alcançou uma melhoria de 52% no IPC em relação ao Excavator.[67] A inclusão do SMT também permite que cada núcleo processe até dois threads, aumentando o rendimento do processamento por meio de um melhor uso dos recursos disponíveis.

Os processadores Zen também empregam sensores em todo o chip para dimensionar dinamicamente a frequência e a tensão.[68] Isso permite que a frequência máxima seja definida de forma dinâmica e automática pelo próprio processador com base no resfriamento disponível.

A AMD demonstrou um processador Zen de 8 núcleos/16 threads superando um processador Intel Broadwell-E e mesma freqüência na renderização do Blender[3][9] e benchmarks HandBrake.[68]

Zen suporta AVX2, mas requer dois ciclos de clock para completar cada instrução AVX2 em comparação com a da Intel.[69][70] Esta diferença foi corrigida no Zen 2.

Memória[editar | editar código-fonte]

Zen suporta memória DDR4 (até oito canais)[71] e ECC.[72]

Relatórios de pré-lançamento afirmaram que APUs usando a arquitetura Zen também suportariam High Bandwidth Memory (HBM).[73] No entanto, a primeira APU demonstrada não usaou HBM.[74] As APUs anteriores da AMD dependiam de memória compartilhada tanto para a GPU quanto para a CPU.

Consumo de energia e produção de calor[editar | editar código-fonte]

Os processadores construídos no nó de 14 nm no silício FinFET devem apresentar consumo de energia reduzido e, portanto, aquecer em relação aos seus predecessores não-FinFET de 28 nm e 32 nm (para projetos equivalentes), ou ser mais poderosos computacionalmente com produção de calor/consumo de energia equivalentes.

O Zen também usa clock gating,[42] reduzindo a frequência de partes subutilizadas do núcleo para economizar energia. Isso vem da tecnologia SenseMI da AMD, que usa sensores em todo o chip para dimensionar dinamicamente a frequência e a tensão.[68]

Segurança aprimorada e suporte à virtualização[editar | editar código-fonte]

Zen adicionou suporte para Secure Memory Encryption (SME) da AMD e Secure Encrypted Virtualization (SEV) da AMD. A criptografia de memória segura é a criptografia de memória em tempo real feita por entrada da tabela de páginas. A criptografia ocorre em um mecanismo AES de hardware e as chaves são gerenciadas pelo processador de "Security" integrado (ARM Cortex-A5) no momento da inicialização para criptografar cada página, permitindo que qualquer memória DDR4 (incluindo variedades não voláteis) seja criptografada. O AMD SME também torna o conteúdo da memória mais resistente a espionagem de memória e ataques de inicialização a frio.[75][76]

O SME pode ser usado para marcar páginas individuais de memória como criptografadas por meio de tabelas de páginas. Uma página de memória marcada como criptografada será descriptografada automaticamente quando lida na DRAM e será automaticamente criptografada quando gravada na DRAM. O recurso SME é identificado por meio de uma função CPUID e habilitado por meio do SYSCFG MSR. Uma vez ativadas, as entradas da tabela de páginas determinarão como a memória será acessada. Se uma entrada da tabela de páginas tiver a máscara de criptografia de memória definida, essa memória será acessada como memória criptografada. A máscara de criptografia de memória (assim como outras informações relacionadas) é determinada a partir das configurações retornadas pela mesma função CPUID que identifica a presença do recurso.

[77]

O recurso Secure Encrypted Virtualization (SEV) permite que o conteúdo da memória de uma máquina virtual (VM) seja criptografado de forma transparente com uma chave exclusiva da VM convidada. O controlador de memória contém um mecanismo de criptografia de alto desempenho que pode ser programado com múltiplas chaves para uso por diferentes VMs no sistema. A programação e o gerenciamento dessas chaves são feitos pelo firmware do processador AMD Secure, que expõe uma API para essas tarefas.[78]

Conectividade[editar | editar código-fonte]

Incorporando grande parte da ponte sul no SoC, a CPU Zen inclui links SATA, USB e PCI Express NVMe.[79][80] Isso pode ser aumentado pelos chipsets Socket AM4 disponíveis que adicionam opções de conectividade, incluindo conexões SATA e USB adicionais, e suporte para Crossfire da AMD e SLI da Nvidia.[81]

A AMD, ao anunciar sua linha Radeon Instinct, argumentou que a próxima CPU de servidor Nápoles baseada em Zen seria particularmente adequada para a construção de sistemas de aprendizado profundo.[82][83] As 128[84] pistas PCIe por CPU Naples permitem que oito placas Instinct se conectem em PCIe x16 a uma única CPU. Isso se compara favoravelmente à linha Intel Xeon, com apenas 40 pistas PCIe.[carece de fontes?]

Características[editar | editar código-fonte]

CPUs[editar | editar código-fonte]

Tabela de recursos de CPU

APUs[editar | editar código-fonte]

Tabela de recursos de APU

Produtos[editar | editar código-fonte]

A arquitetura Zen é usada nas CPUs Ryzen de desktop da geração atual. Também está nos processadores de servidor Epyc (sucessor dos processadores Opteron) e APUs.[85][86]

Esperava-se inicialmente que os primeiros processadores para desktop sem unidades de processamento gráfico (codinome "Summit Ridge") começassem a ser vendidos no final de 2016, de acordo com um roteiro da AMD; com os primeiros processadores móveis e desktop do tipo AMD Accelerated Processing Unit (codinome "Raven Ridge") no final de 2017.[87] A AMD adiou oficialmente o Zen até o primeiro trimestre de 2017. Em agosto de 2016, uma demonstração inicial da arquitetura mostrou um CPU de amostra de engenharia de 8 núcleos/16 threads a 3,0GHz.[9]

Em dezembro de 2016, a AMD anunciou oficialmente a linha de CPU para desktop sob a marca Ryzen para lançamento no primeiro trimestre de 2017. Ela também confirmou que os processadores de servidor seriam lançados no segundo trimestre de 2017 e APUs móveis no segundo semestre de 2017.[88]

Em 2 de março de 2017, a AMD lançou oficialmente as primeiras CPUs de desktop Ryzen octacore baseadas na arquitetura Zen. As velocidades finais de clock e TDPs para as três CPUs lançadas no primeiro trimestre de 2017 demonstraram benefícios significativos de desempenho por watt em relação a arquitetura K15h (Piledriver) anterior.[89][90] As CPUs de desktop Ryzen octacore demonstraram desempenho por watt comparável às CPUs octacore Broadwell da Intel.[91][92]

Em março de 2017, a AMD também demonstrou uma amostra de engenharia de uma CPU de servidor baseada na arquitetura Zen. A CPU (codinome "Naples") foi configurada como uma plataforma de servidor dual-socket com cada CPU tendo 32 núcleos/64 threads.[3][9]

Processadores de desktop[editar | editar código-fonte]

Ver artigo principal: Ryzen
CPU Ryzen 5 1600 em uma placa-mãe
Threadripper 1950X TR4 no soquete

APUs de desktop[editar | editar código-fonte]

APUs ultramobile[editar | editar código-fonte]

Modelo Data de lançamento Fab CPU GPU Socket Pistas PCIe Suporte de memória TDP Part Number
Cores
(threads)
Frequência de Clock (GHz) Cache Modelo Config[i] Clock
(MHz)
Poder de processamento
(GFLOPS)[ii]
Base Boost L1 L2 L3
AMD 3020e[93] 6 de janeiro de 2020 14 nm 2 (2) 1.2 2.6 64 KB inst.
32 KB data
por core
512 KB
por core
4 MB Radeon
Graphics
(Vega)
192:12:4
3 CU
1.0 384 FP5 12 (8+4) DDR4-2400
dual-channel
6 W YM3020C7T2OFG
Athlon PRO 3045B[94] Q1 2021 2.3 3.2 128:8:4
2 CU
1.1 281.6 15 W YM3045C4T2OFG
Athlon Silver 3050U[95] 6 de janeiro de 2020 YM3050C4T2OFG
Athlon Silver 3050C[96] 22 de setembro de 2020 YM305CC4T2OFG
Athlon Silver 3050e[97] 6 de janeiro de 2020 2 (4) 1.4 2.8 192:12:4
3 CU[98]
1.0 384 6 W YM3050C7T2OFG
Athlon PRO 3145B[99] Q1 2021 2.4 3.3 15 W YM3145C4T2OFG
Athlon Gold 3150U[100] 6 de janeiro de 2020 YM3150C4T2OFG
Athlon Gold 3150C[101] 22 de setembro de 2020 YM315CC4T2OFG
Ryzen 3 3250U[102] 6 de janeiro de 2020 2.6 3.5 1.2 460.8 YM3250C4T2OFG
Ryzen 3 3250C[103] 22 de setembro de 2020 YM325CC4T2OFG
  1. Shaders Unificados: Unidades de Mapeamento de Textura: Unidades de Saída de Renderização e unidade de computação (CU)
  2. O desempenho de precisão única é calculado a partir da velocidade básica (ou boost) do clock do núcleo com base em uma operação FMA.

Pollock[editar | editar código-fonte]

Modelo Data de
lançamento
Fab CPU GPU Socket Pistas
PCIe
Suporte de
memória
TDP Part number
Cores
(threads)
Frequência de Clock (GHz) Cache Modelo Config[i] Clock
(GHz)
Poder de processamento
(GFLOPS)[ii]
Base Boost L1 L2 L3
AMD 3015e[104] 6 de julho de 2020 14 nm 2 (4) 1.2 2.3 64 KB inst.
32 KB data
por core
512 KB
por core
4 MB Radeon
Graphics
(Vega)
192:12:4
3 CU
0.6 230.4 FT5 12 (8+4) DDR4-1600
single-channel
6 W AM3015BRP2OFJ
AMD 3015Ce[105] 29 de abril de 2021 AM301CBRP2OFJ
  1. Shaders Unificados: Unidades de Mapeamento de Textura: Unidades de Saída de Renderização e unidade de computação (CU)
  2. O desempenho de precisão única é calculado a partir da velocidade básica (ou boost) do clock do núcleo com base em uma operação FMA.


https://en.wikipedia.org/w/index.php?title=Zen_(first_generation)&oldid=1220628639#Pollock

Processadores Integrados[editar | editar código-fonte]

Em fevereiro de 2018, a AMD anunciou a série V1000 de APUs Zen+Vega incorporados com quatro SKUs.[106]

V1000[editar | editar código-fonte]

Modelo Data de lançamento
e preço
Fab CPU GPU Suporte de memória TDP Temperatura
de
junção

(°C)
Cores
(Thread)
Taxa de clock (GHz) Cache Modelo Config[i] Clock
(GHz)
Poder de
processamento
(GFLOPS)[ii]
Base Boost L1 L2 L3
V1202B[107] fevereiro de 2018 GloFo
14LP
2 (4) 2.3 3.2 64 KB inst.
32 KB data
por core
512 KB
por core
4 MB Vega 3 192:12:16
3 CU
1.0 384 DDR4-2400
dual-channel
12–25 W 0–105
V1404I[107] dezembro de 2018 4 (8) 2.0 3.6 Vega 8 512:32:16
8 CU
1.1 1126.4 -40–105
V1500B[107] 2.2 0–105
V1605B[107] fevereiro de 2018 2.0 3.6 Vega 8 512:32:16
8 CU
1.1 1126.4
V1756B[107] 3.25 DDR4-3200
dual-channel
35–54 W
V1780B[107] dezembro de 2018 3.35
V1807B[107] fevereiro de 2018 3.8 Vega 11 704:44:16
11 CU
1.3 1830.4


R1000[editar | editar código-fonte]

Em 2019, a AMD anunciou a série R1000 de APUs Zen+Vega integradas.

Modelo Data de lançamento Fab CPU GPU Suporte de memória TDP
Cores
(Thread)
Taxa de clock (GHz) Cache Modelo Config[i] Clock
(GHz)
Poder de
processamento
(GFLOPS)[ii]
Base Boost L1 L2 L3
R1102G [108] 25 de fevereiro de 2020 GloFo
14LP
2 (2) 1.2 2.6 64 KB inst.
32 KB data
por core
512 KB
por core
4 MB Vega 3 192:12:4
3 CU
1.0 384 DDR4-2400
single-channel
6 W
R1305G[108] 2 (4) 1.5 2.8 DDR4-2400
dual-channel
8-10 W
R1505G[108] 16 de abril de 2019 2.4 3.3 12–25 W
R1606G[108] 2.6 3.5 1.2 460.8

Processadores de servidor[editar | editar código-fonte]

Ver artigo principal: EPYC
Epyc

A AMD anunciou em março de 2017 que lançaria uma plataforma de servidor baseada em Zen, codinome Naples, no segundo trimestre do ano. A plataforma inclui sistemas de 1 e 2 soquetes. As CPUs em configurações de multiprocessadores se comunicam via Infinity Fabric da AMD.[109] Cada chip suporta oito canais de memória e 128 pistas PCIe 3.0, das quais 64 pistas são usadas para comunicação CPU-a-CPU através do Infinity Fabric quando instalado em uma configuração de processador duplo.[110] A AMD revelou oficialmente Naples sob a marca Epyc em maio de 2017.[111]

Em 20 de junho de 2017, a AMD lançou oficialmente as CPUs da série Epyc 7000 em um evento de lançamento em Austin, Texas.[112]

Recursos comuns das CPUs da série EPYC 7001:

  • Socket: SP3
  • Todas as CPUs suportam ECC DDR4-2666 no modo octa-channel (7251 suporta apenas DDR4-2400).
  • Cache L1: 96 KB (32 KB de dados + 64 KB de instruções) por núcleo.
  • Cache L2: 512 KB por núcleo.
  • Todas as CPUs suportam 128 pistas PCIe 3.0.
  • Processo de fabricação: GlobalFoundries 14LP.
Modelo[nota 1] Cores
(threads)
Taxa de clock (GHz) Cache L3
(total)
TDP Chiplets Core
config[nota 2]
Lançamento Opções
Embedded[nota 3]
Base Boost Data Preço
(USD)
All-core Max
7251[113][114][115] 8 (16) 2.1 2.9 2.9 32 MB 120 W 4 × CCD 8 × 1 Junho de 2017[116] $475 Sim[117]
7261[118][119][120] 2.5 64 MB 155/170 W 14 de junho de 2018[121] $570 Sim[122]
7281[123][119][115] 16 (32) 2.1 2.7 2.7 32 MB 8 × 2 20 de junho de 2017[116] $650 Sim[124]
7301[125][119][115] 2.2 64 MB $800 Sim[126]
7351P[127][119][115] 2.4 2.9 2.9 $750 735P[128]
7351[129][119][115] $1,100 Sim[130]
7371[131][119][132] 3.1 3.6 3.8 200 W 13 de novembro de 2018[133] $1,550 [134]
7401P[135][119][115] 24 (48) 2.0 2.8 3.0 155/170 W 8 × 3 20 de junho de 2017[116] $1,075 740P[136]
7401[137][119][115] $1,850 Sim[138]
7451[139][119][115] 2.3 2.9 3.2 180 W $2,400 Sim[140]
7501[141][119][115] 32 (64) 2.0 2.6 3.0 155/170 W 8 × 4 $3,400 Sim[142]
7551P[143][119][115] 2.55 180 W $2,100 755P[144]
7551[145][119][115] $3,400 Sim[146]
7571[147][148] 2.2 3.0 200 W 6 de novembro de 2018 OEM/AWS Desconhecido
7601[149][119][115] 2.7 3.2 180 W 20 de junho de 2017[116] $4,200 Sim[150]
  1. Os modelos com sufixos "P" são uniprocessadores, disponíveis apenas como configuração de soquete único.
  2. Core Complexes (CCX) × cores por CCX
  3. Os modelos da série Epyc integrados 7001 têm especificações idênticas às da série Epyc 7001.


Processadores Integrados de servidor[editar | editar código-fonte]

Em fevereiro de 2018, a AMD também anunciou a série Epyc 3000 de CPUs Zen incorporados.[151]

Recursos comuns das CPUs EPYC Embedded série 3000:

  • Socket: SP4 (modelos 31xx e 32xx usam pacote SP4r2).
  • Todas as CPUs suportam ECC DDR4-2666 no modo dual-channel (3201 suporta apenas DDR4-2133), enquanto os modelos 33xx e 34xx suportam o modo quad-channel.
  • Cache L1: 96 KB (32 KB de dados + 64 KB de instruções) por núcleo.
  • Cache L2: 512 KB por núcleo.
  • Todas as CPUs suportam 32 pistas PCIe 3.0 por CCD (máximo de 64 pistas).
  • Processo de fabricação: GlobalFoundries 14 nm.
Modelo Cores
(threads)
Taxa de clock (GHz) Cache L3
(total)
TDP Chiplets Core
config[nota 1]
Data de lançamento
Base Boost
All-core Max
3101[152][153] 4 (4) 2.1 2.9 2.9 8 MB 35 W 1 x CCD 1 × 4 21 de fevereiro de 2018
3151[154][153] 4 (8) 2.7 16 MB 45 W 2 × 2
3201[155][153] 8 (8) 1.5 3.1 3.1 30 W 2 × 4
3251[156][153] 8 (16) 2.5 55 W
3255[157][158] 25–55 W dezembro de 2018
3301[153] 12 (12) 2.0 2.15 3.0 32 MB 65 W 2 x CCD 4 × 3 21 de fevereiro de 2018
3351[159][153] 12 (24) 1.9 2.75 60–80 W
3401[153] 16 (16) 1.85 2.25 85 W 4 × 4
3451[160][153] 16 (32) 2.15 2.45 80–100 W
  1. Core Complexes (CCX) × cores por CCX


Ver também[editar | editar código-fonte]

Referências

  1. «AMD Ryzen™ 7 Desktop Processors Featuring Record-Breaking Overclocking Performance Available Worldwide Today» (Nota de imprensa). Sunnyvale, California: Advanced Micro Devices, Inc. 2 de março de 2017. Consultado em 29 de agosto de 2022. Cópia arquivada em 28 de outubro de 2021 
  2. a b c «GlobalFoundries announces 14nm validation with AMD Zen silicon». ExtremeTech. Consultado em 29 de agosto de 2022. Cópia arquivada em 7 de dezembro de 2016 
  3. a b c d e Anthony, Sebastian (18 de agosto de 2016). «AMD says Zen CPU will outperform Intel Broadwell-E, delays release to 2017». Ars Technica. Consultado em 29 de agosto de 2022. Cópia arquivada em 29 de agosto de 2016 
  4. «Details of AMD Zen 16-core x86 APU emerge». 13 de abril de 2015. Consultado em 29 de agosto de 2022. Cópia arquivada em 2 de maio de 2016 
  5. «AMD Zen-based 8-core Desktop CPU Arrives in 2016, on Socket FM3». TechPowerUp. Consultado em 29 de agosto de 2022. Cópia arquivada em 2 de março de 2016 
  6. Kampman, Jeff (16 de maio de 2017). «Ryzen Threadripper CPUs will offer 16 cores and 32 threads». Tech Report. Consultado em 29 de agosto de 2022. Cópia arquivada em 17 de maio de 2017 
  7. Kennedy, Patrick (16 de maio de 2017). «AMD EPYC New Details on the Emerging Server Platform». Serve the Home. Consultado em 29 de agosto de 2022. Cópia arquivada em 6 de junho de 2017 
  8. a b Ryan Smith (6 de maio de 2015). «AMD's 2016-2017 x86 Roadmap: Zen Is In, Skybridge Is Out». AnandTech. Consultado em 29 de agosto de 2022. Cópia arquivada em 8 de maio de 2015 
  9. a b c d Kampman, Jeff (18 de agosto de 2016). «AMD gives us our first real moment of Zen». Tech Report. Consultado em 29 de agosto de 2022. Cópia arquivada em 18 de novembro de 2016 
  10. Cutress, Ian. «AMD's Future in Servers: New 7000-Series CPUs Launched and Epyc Analysis». AnandTech. Consultado em 8 de agosto de 2017. Cópia arquivada em 21 de junho de 2017 
  11. «HP ENVY x360 Convertible Laptop - 15z touch - HP® Official Store». store.hp.com. Consultado em 29 de agosto de 2022. Cópia arquivada em 10 de dezembro de 2017 
  12. Brad Chacos (8 de janeiro de 2016). «AMD Zen-based CPUs and APUs will unify around Socket AM4». PCWorld. Consultado em 29 de agosto de 2022. Cópia arquivada em 2 de fevereiro de 2017 
  13. «Ryzen™ Threadripper™ Processors | AMD». www.amd.com (em inglês). Consultado em 29 de agosto de 2022. Cópia arquivada em 29 de setembro de 2017 
  14. «How AMD's powerful Zen chip flouts the SoC stereotype». PCWorld (em inglês). Consultado em 29 de agosto de 2022. Cópia arquivada em 6 de fevereiro de 2017 
  15. Cutress, Ian (18 de agosto de 2016). «Early AMD Zen Server CPU and Motherboard Details». Anandtech. Consultado em 29 de agosto de 2022. Cópia arquivada em 22 de março de 2017 
  16. AMD Shipped 260 Million Zen Cores by 2020 Arquivado em 2021-10-29 no Wayback Machine. AnandTech.
  17. «AMD Reveals Why Threadripper CPUs Have 4 Dies Under the Hood - ExtremeTech». Consultado em 29 de agosto de 2022. Cópia arquivada em 2 de novembro de 2020 
  18. «AMD Ryzen Threadripper Does Have Four 8-Core Dies (32-cores)». Consultado em 29 de agosto de 2022. Cópia arquivada em 2 de julho de 2018 
  19. «Overclocker delids an AMD Ryzen Threadripper chip and finds Epyc inside | PC Gamer». PC Gamer. Consultado em 29 de agosto de 2022. Cópia arquivada em 31 de outubro de 2020 
  20. «Weekend tech reading: AMD 'Zen' and their return to high-end CPUs, tracking Windows pirates - TechSpot». techspot.com. Consultado em 29 de agosto de 2022. Cópia arquivada em 11 de maio de 2015 
  21. «AMD: Zen chips headed to desktops, servers in 2016 - The Tech Report - Page 1». techreport.com. Consultado em 29 de agosto de 2022. Cópia arquivada em 9 de maio de 2015 
  22. Anton Shilov (11 de setembro de 2014). «AMD: 'Bulldozer' was not a game-changer, but next-gen 'Zen' will be». KitGuru. Consultado em 29 de agosto de 2022. Cópia arquivada em 4 de junho de 2016 
  23. Software Optimization Guide for AMD Family 17h Processors Arquivado em 2017-07-12 no Wayback Machine / AMD, June 2017
  24. «AMD Zen Confirmed for 2016, Features 40% IPC Improvement Over Excavator». Consultado em 29 de agosto de 2022. Cópia arquivada em 4 de março de 2016 
  25. Ian Cutress (2 de março de 2017). «The Core Complex, Caches, and Fabric». Consultado em 29 de agosto de 2022. Cópia arquivada em 25 de junho de 2017 
  26. Clark, Mike. «A New x86 Core Architecture for the Next Generation of Computing» (PDF). AMD. p. 7. Cópia arquivada (PDF) em 26 de novembro de 2016 
  27. Cutress, Ian. «AMD Zen Microarchitecture: Dual Schedulers, Micro-Op Cache and Memory Hierarchy Revealed». Consultado em 29 de agosto de 2022. Cópia arquivada em 19 de agosto de 2016 
  28. Mujtaba, Hassan. «AMD Opens The Lid on Zen Architectural Details at Hot Chips – Huge Performance Leap Over Excavator, Massive Throughput on 14nm FinFET Design». WCCFtech. Consultado em 29 de agosto de 2022. Cópia arquivada em 25 de agosto de 2016 
  29. Walrath, Josh. «AMD Zen Architecture Overview: Focus on Ryzen | PC Perspective». PC Perspective (em inglês). Consultado em 29 de agosto de 2022. Cópia arquivada em 12 de outubro de 2017 
  30. Jiménez, Daniel. «Strided Sampling Hashed Perceptron Predictor» (PDF). Texas A&M University. Consultado em 29 de agosto de 2022. Cópia arquivada (PDF) em 19 de setembro de 2016 
  31. Williams, Chris. «'Neural network' spotted deep inside Samsung's Galaxy S7 silicon brain». The Register. Consultado em 29 de agosto de 2022. Cópia arquivada em 19 de setembro de 2017 
  32. Fog, Agner. «The microarchitecture of Intel, AMD and VIA CPUs» (PDF). Technical University of Denmark. Consultado em 29 de agosto de 2022. Cópia arquivada (PDF) em 28 de março de 2017 
  33. a b c «AMD Starts Linux Enablement On Next-Gen "Zen" Architecture». Phoronix. 17 de março de 2015. Consultado em 29 de agosto de 2022. Cópia arquivada em 8 de março de 2017 
  34. «AMD Takes Computing to a New Horizon with Ryzen™ Processors». www.amd.com. Consultado em 29 de agosto de 2022. Cópia arquivada em 12 de junho de 2018 
  35. «Linux support for Power Measurement Interfaces». web.eece.maine.edu. Consultado em 29 de agosto de 2022. Arquivado do original em 5 de abril de 2018 
  36. Chen, Sam (24 de junho de 2017). «XFR». Custom PC Review. Consultado em 29 de agosto de 2022. Cópia arquivada em 26 de agosto de 2018 
  37. Kirk Ladendorf - For the American-Statesman. «Amid challenges, chipmaker AMD sees a way forward». Cópia arquivada em 23 de setembro de 2015 
  38. Lilly, Paul (23 de julho de 2016), «AMD Shipping Zen In Limited Quantity Q4, Volume Rollout Ramps Q1 2017», hothardware.com, consultado em 30 de agosto de 2022, cópia arquivada em 21 de abril de 2019, Zen is being built on an advanced GlobalFoundries-sourced 14nm FinFET process 
  39. Schor, David (22 de julho de 2018). «VLSI 2018: GlobalFoundries 12nm Leading-Performance, 12LP». WikiChip Fuse (em inglês). Consultado em 30 de agosto de 2022. Cópia arquivada em 7 de abril de 2019 
  40. a b «14nm AMD Zen CPU Will Have DDR4 and Simultaneous Multithreading». Softpedia. 28 de janeiro de 2015. Consultado em 30 de agosto de 2022. Cópia arquivada em 10 de março de 2015 
  41. «AMD's next gen CPU Zen». Shattered.Media. 9 de maio de 2015. Cópia arquivada em 17 de novembro de 2015 
  42. a b Cutress, Ian (18 de agosto de 2016). «AMD Zen Microarchitecture». Anandtech. Consultado em 30 de agosto de 2022. Cópia arquivada em 19 de agosto de 2016 
  43. AMD, "Guia de Otimização de Software para Processadores da Família AMD 17h"
  44. Jim Keller On AMD's Next-Gen High Performance x86 Zen Core & K12 ARM Core. YouTube. 7 de maio de 2014 
  45. «Jim Keller Leaves AMD». Anand tech. Consultado em 30 de agosto de 2022. Cópia arquivada em 15 de outubro de 2015 
  46. Ladendorf, Kirk. «Amid challenges, chipmaker AMD sees a way forward». Austin American-Statesman (em inglês). Consultado em 30 de agosto de 2022. Cópia arquivada em 4 de janeiro de 2020 
  47. Merritt, Rick (24 de agosto de 2016). «AMD Reveals Zen of X86». EE Times. Consultado em 30 de agosto de 2022. Cópia arquivada em 4 de março de 2017 
  48. TAKAHASHI, Dean (24 de agosto de 2016). «How AMD designed what could be its most competitive processors in a decade». VentureBeat. Consultado em 30 de agosto de 2022. Cópia arquivada em 4 de março de 2017 
  49. Wong, Adrian (18 de abril de 2017). «Joe Macri : The Disruptive Nature of AMD Ryzen». TechArp. Consultado em 20 de abril de 2017. Cópia arquivada em 22 de abril de 2017 
  50. «AMD set to release first 'Zen'-based microprocessors in late 2016 – document». KitGuru.net. 12 de junho de 2015. Consultado em 30 de agosto de 2022. Cópia arquivada em 13 de setembro de 2015 
  51. «OC3D :: Article :: AMD Tests Zen CPUs, "Met All Expectation" with no "Significant Bottlenecks" found :: AMD Tests Zen CPUs, Met All Expectation with no Significant Bottlenecks found». Consultado em 30 de agosto de 2022. Cópia arquivada em 4 de novembro de 2015 
  52. «Samsung to fab AMD Zen & Arctic islands on its 14 nm Finfet node», Tech power up, consultado em 30 de agosto de 2022, cópia arquivada em 9 de janeiro de 2016 
  53. Moorhead, Patrick (25 de julho de 2016). «AMD Officially Diversifies 14nm Manufacturing With Samsung». Forbes. Consultado em 30 de agosto de 2022. Cópia arquivada em 26 de julho de 2016 
  54. «First-Gen AMD Ryzen CPUs are Appearing with 12nm Zen+ Architecture». 22 de dezembro de 2019. Consultado em 30 de agosto de 2022. Cópia arquivada em 22 de dezembro de 2019 
  55. «AMD's next-gen CPU leak: 14nm, simultaneous multithreading, and DDR4 support». ExtremeTech. Consultado em 1 de setembro de 2022. Cópia arquivada em 25 de janeiro de 2016 
  56. Rulison, Larry (22 de agosto de 2016). «Reports: Chip made by GlobalFoundries beats Intel». Times Union. Consultado em 22 de agosto de 2016. Cópia arquivada em 1 de setembro de 2022 
  57. «AMD: We have taped out our first FinFET products». KitGuru. Consultado em 1 de setembro de 2022. Cópia arquivada em 25 de janeiro de 2016 
  58. «CES: AMD finally unveils 28nm APU Kaveri to battle Intel Haswell». The Inquirer. Cópia arquivada em 9 de janeiro de 2014 
  59. «Intel Kaby Lake to compete against AMD Zen at end of 2016». 2 de março de 2016. Consultado em 1 de setembro de 2022. Cópia arquivada em 6 de março de 2016. Intel's Kaby Lake-series processors, which are scheduled to launch in the third quarter, but will not begin volume production until the end of 2016, while AMD is set to release its Zen architecture-based processors at the end of the fourth quarter. 
  60. Edward Jones (21 de outubro de 2016). «AMD Zen: A serious challenge to Intel?». Channel Pro. Consultado em 1 de setembro de 2022. Cópia arquivada em 23 de junho de 2016 
  61. Manion, Wayne (8 de fevereiro de 2017). «AMD touts Zen die size advantage at ISSCC». Tech Report. Consultado em 1 de setembro de 2022. Cópia arquivada em 9 de fevereiro de 2017 
  62. «Intel says not to expect mainstream 10nm chips until 2H19 | Ars Technica». Consultado em 1 de setembro de 2022. Cópia arquivada em 29 de julho de 2018 
  63. «Intel's 'Tick-Tock' Seemingly Dead, Becomes 'Process-Architecture-Optimization'». Anandtech. Consultado em 1 de setembro de 2022. Cópia arquivada em 23 de março de 2016 
  64. Smith, Ryan (31 de maio de 2016). «AMD Briefly Shows Off Zen "Summit Ridge" Silicon». Consultado em 1 de setembro de 2022. Cópia arquivada em 5 de junho de 2016 
  65. «AMD Announces Zen, 40% IPC Improvement Over Excavator - Coming In 2016». 7 de maio de 2015. Consultado em 1 de setembro de 2022. Cópia arquivada em 5 de junho de 2016 
  66. Ian Cutress (2 de junho de 2015). «IPC Increases: Double L1 Data Cache, Better Branch Prediction - AMD Launches Carrizo: The Laptop Leap of Efficiency and Architecture Updates». Anandtech. Consultado em 1 de setembro de 2022. Cópia arquivada em 16 de fevereiro de 2016 
  67. Cutress, Ian (22 de fevereiro de 2017). «AMD Launches Zen». Anandtech.com. Consultado em 1 de setembro de 2022. Cópia arquivada em 27 de fevereiro de 2017 
  68. a b c Kampman, Jeff (13 de dezembro de 2016). «AMD crests Summit Ridge with Ryzen CPUs». TechReport. Consultado em 1 de setembro de 2022. Cópia arquivada em 14 de dezembro de 2016 
  69. Cutress, Ian. «AMD Zen Microarchiture Part 2: Extracting Instruction-Level Parallelism». Consultado em 1 de setembro de 2022. Cópia arquivada em 12 de março de 2017 
  70. Leadbetter, Richard (22 de fevereiro de 2017). «In Theory: How AMD's Ryzen will disrupt the gaming CPU market». Eurogamer. Consultado em 1 de setembro de 2022. Cópia arquivada em 9 de março de 2017 
  71. «AMD's Zen processors to feature up to 32 cores, 8-channel DDR4». TechSpot. Consultado em 1 de setembro de 2022. Cópia arquivada em 28 de fevereiro de 2016 
  72. MAC (30 de março de 2017). «ECC Memory & AMD's Ryzen - A Deep Dive». Hardware Canucks. Consultado em 1 de setembro de 2022. Cópia arquivada em 4 de julho de 2017 
  73. «Zen-based APU with HBM to be AMD Carrizo successor». Consultado em 1 de setembro de 2022. Cópia arquivada em 12 de janeiro de 2016 
  74. Shrout, Ryan (30 de maio de 2017). «Computex 2017: AMD Demos Ryzen Mobile SoC with Vega Graphics». PC Perspective. Consultado em 1 de setembro de 2022. Cópia arquivada em 22 de março de 2019 
  75. «[RFC PATCH v1 00/18] x86: Secure Memory Encryption (AMD)». Consultado em 3 de setembro de 2022. Cópia arquivada em 1 de maio de 2016 
  76. «AMD MEMORY ENCRYPTION WHITEPAPER» (PDF). Consultado em 3 de setembro de 2022. Cópia arquivada (PDF) em 9 de maio de 2016 
  77. «LKML - Tom Lendacky (AMD) explains AMD Secure Memory Encryption». Consultado em 9 de setembro de 2022. Cópia arquivada em 4 de agosto de 2016 
  78. «AMD - Other Developer Guides: Secure Encrypted Virtualization Key Management PDF – 05/19/2016» (PDF). Consultado em 9 de setembro de 2022. Cópia arquivada (PDF) em 25 de março de 2017 
  79. L, Alex; Walrath, Josh (12 de janeiro de 2017). «Podcast #432 - Kaby Lake, Vega, CES Review». PC Perspective. Consultado em 13 de setembro de 2022. Cópia arquivada em 28 de outubro de 2021 
  80. Mah Ung, Gordon (28 de setembro de 2016). «How AMD's powerful Zen chip flouts the SoC stereotype». PC World. Consultado em 13 de setembro de 2022. Cópia arquivada em 6 de fevereiro de 2017 
  81. Justin, Michael; Sexton, Allen (3 de março de 2017). «AMD's AM4 Ryzen Chipsets». Tom's Hardware. Consultado em 13 de setembro de 2022 
  82. Smith, Ryan (12 de dezembro de 2016). «AMD Announces Radeon Instinct: GPU Accelerators for Deep Learning, Coming in 2017». Anandtech. Consultado em 13 de setembro de 2022. Cópia arquivada em 12 de dezembro de 2016 
  83. Shrout, Ryan (12 de dezembro de 2016). «Radeon Instinct Machine Learning GPUs include Vega, Preview Performance». PC Per. Consultado em 13 de setembro de 2022. Cópia arquivada em 11 de agosto de 2017 
  84. Mujtaba, Hassan (7 de março de 2017). «AMD Naples High-Performance Server Chips With 32 Cores, 64 Threads Detailed». Wccftech (em inglês). Consultado em 13 de setembro de 2022. Cópia arquivada em 24 de novembro de 2018 
  85. «AMD Zen FX CPUs, APUs Release Details Surface, Top-Notch Performance In The Cards». Tech Times. Consultado em 13 de setembro de 2022. Cópia arquivada em 25 de dezembro de 2015 
  86. «32-core AMD Opteron to feature quad-die MCM design». KitGuru. Consultado em 13 de setembro de 2022. Cópia arquivada em 25 de janeiro de 2016 
  87. Mark Mantel (7 de fevereiro de 2017). «CPU-Roadmap 2017 - 2018: Künftige AMD- und Intel-CPUs/-APUs in der Übersicht». PC Games Hardware (em alemão). Consultado em 13 de setembro de 2022. Cópia arquivada em 1 de março de 2017 
  88. Larabel, Michael (13 de dezembro de 2016). «AMD Reveals More Zen CPU Details, Officially Known As Ryzen, No Linux Details Yet». Phoronix. Consultado em 13 de setembro de 2022. Cópia arquivada em 13 de setembro de 2022 
  89. «AMD FX-8350 Review: Does Piledriver Fix Bulldozer's Flaws?». Tom's Hardware (em inglês). 22 de outubro de 2012. Consultado em 13 de setembro de 2022 
  90. «AMD Ryzen 7 1800X: Power Consumption And Temperatures». Tom's Hardware (em inglês). 2 de março de 2017. Consultado em 13 de setembro de 2022 
  91. «AMD Ryzen 7 1800X and AM4 Platform Review». bit-tech (em inglês). Consultado em 13 de setembro de 2022. Cópia arquivada em 13 de março de 2017 
  92. «The AMD Ryzen 7 1800X Review: Now and Zen | Power Consumption and Conclusions». www.pcper.com (em inglês). Consultado em 13 de setembro de 2022. Cópia arquivada em 3 de julho de 2017 
  93. «AMD 3020e». Consultado em 12 de junho de 2023 
  94. «AMD Athlon™ PRO 3045B». Consultado em 12 de junho de 2023 
  95. «AMD Athlon™ Silver 3050U». Consultado em 12 de junho de 2023 
  96. «AMD Athlon™ Silver 3050C». Consultado em 12 de junho de 2023 
  97. «AMD Athlon™ Silver 3050e». Consultado em 12 de junho de 2023 
  98. «AMD Radeon Vega 3 Mobile Specs». TechPowerUp. Consultado em 12 de junho de 2023 
  99. «AMD Athlon™ PRO 3145B». Consultado em 12 de junho de 2023 
  100. «AMD Athlon™ Gold 3150U». Consultado em 12 de junho de 2023 
  101. «AMD Athlon™ Gold 3150C». Consultado em 12 de junho de 2023 
  102. «AMD Ryzen™ 3 3250U». Consultado em 12 de junho de 2023 
  103. «AMD Ryzen™ 3 3250C». Consultado em 12 de junho de 2023 
  104. https://www.amd.com/en/product/10166
  105. https://www.amd.com/en/product/11201
  106. Alcorn, Paul (21 de fevereiro de 2018). «AMD Launches Ryzen Embedded V1000, EPYC Embedded 3000 Processors». Tomshardware.com. Consultado em 21 de setembro de 2022 
  107. a b c d e f g «Embedded Processor Specifications». AMD 
  108. a b c d «Embedded Processor Specifications». AMD 
  109. Kampman, Jeff (7 de março de 2017). «AMD's Naples platform prepares to take Zen into the datacenter». Tech Report. Consultado em 23 de setembro de 2022. Cópia arquivada em 18 de agosto de 2017 
  110. Cutress, Ian (7 de março de 2017). «AMD Prepares 32-Core Naples CPUs for 1P and 2P Servers: Coming in Q2». Anandtech. Consultado em 23 de setembro de 2022. Cópia arquivada em 11 de setembro de 2017 
  111. Kampman, Jeff (16 de maio de 2017). «AMD's Naples datacenter CPUs will make an Epyc splash». Tech Report. Consultado em 23 de setembro de 2022. Cópia arquivada em 17 de maio de 2017 
  112. «AMD launches broad Epyc server processor line with up to 32 cores per chip». VentureBeat. 20 de junho de 2017. Consultado em 23 de setembro de 2022. Cópia arquivada em 8 de agosto de 2017 
  113. https://www.amd.com/en/product/2006
  114. «AMD EPYC 7000 Series Processors: Leading Performance for the Cloud Era» (PDF). Advanced Micro Devices, Inc. Agosto de 2018. p. 2 
  115. a b c d e f g h i j k l Cutress, Ian (20 de junho de 2017). «AMD's Future in Servers: New 7000-Series CPUs Launched and EPYC Analysis». Anand Tech. Consultado em 22 de setembro de 2022 
  116. a b c d Kennedy, Patrick (16 de maio de 2017). «AMD EPYC New Details on the Emerging Server Platform». Serve The Home. Consultado em 22 de setembro de 2022 
  117. https://www.amd.com/en/product/9756
  118. https://www.amd.com/en/product/7791
  119. a b c d e f g h i j k l m «AMD EPYC 7000 Series Processors» (PDF). AMD. Janeiro de 2019. Consultado em 23 de maio de 2024 
  120. «AMD EPYC 7261 - PS7261BEV8RAF». CPU-World. 23 de maio de 2024 
  121. Kennedy, Patrick (31 de outubro de 2018). «AMD EPYC 7261 8 Core CPU Quietly Launched L3 Cache Monster». ServeTheHome (em inglês). Consultado em 23 de maio de 2024 
  122. https://www.amd.com/en/product/9751
  123. https://www.amd.com/en/product/2001
  124. https://www.amd.com/en/product/9746
  125. https://www.amd.com/en/product/1996
  126. https://www.amd.com/en/product/9741
  127. https://www.amd.com/en/product/1991
  128. https://www.amd.com/en/product/9771
  129. https://www.amd.com/en/product/1986
  130. https://www.amd.com/en/product/9736
  131. https://www.amd.com/en/product/8386
  132. «AMD EPYC 7371 - PS7371BDVGPAF». CPU-World. 23 de maio de 2024 
  133. «New AMD-Powered Supercomputers Unleash Discovery and Accelerate Innovation» (Nota de imprensa). AMD. 13 de novembro de 2018. Consultado em 23 de maio de 2024 
  134. https://www.amd.com/en/product/9731
  135. https://www.amd.com/en/product/1981
  136. https://www.amd.com/en/product/9766
  137. https://www.amd.com/en/product/1976
  138. https://www.amd.com/en/product/9726
  139. https://www.amd.com/en/product/1971
  140. https://www.amd.com/en/product/9721
  141. https://www.amd.com/en/product/1966
  142. https://www.amd.com/en/product/9716
  143. https://www.amd.com/en/product/1961
  144. https://www.amd.com/en/product/9761
  145. https://www.amd.com/en/product/1956
  146. https://www.amd.com/en/product/9711
  147. «AMD EPYC 7571 - PS7571BDVIHAF». CPU-World. 23 de maio de 2024 
  148. Larabel, Michael (7 de novembro de 2018). «A Look At The AMD EPYC Performance On The Amazon EC2 Cloud». Phoronix. Consultado em 23 de maio de 2024 
  149. https://www.amd.com/en/product/1951
  150. https://www.amd.com/en/product/9706
  151. Alcorn, Paul (21 de fevereiro de 2018). «AMD Launches Ryzen Embedded V1000, EPYC Embedded 3000 Processors». tom's HARDWARE. Consultado em 23 de setembro de 2022 
  152. https://www.amd.com/en/product/7266
  153. a b c d e f g h «Product Brief: AMD EPYC Embedded 3000 Family» (PDF). AMD. 2018. Consultado em 25 de maio de 2024 
  154. https://www.amd.com/en/product/7261
  155. https://www.amd.com/en/product/7256
  156. https://www.amd.com/en/product/7251
  157. https://www.amd.com/en/product/8856
  158. «AMD EPYC Embedded 3255 - PE3255BGR88AF». CPU-World. 26 de março de 2023 
  159. https://www.amd.com/en/product/9011
  160. https://www.amd.com/en/product/9006