Processo 2 nm

Origem: Wikipédia, a enciclopédia livre.
Chip NAND de 2nm.

Na fabricação de semicondutores, o processo 2 nm é a próxima redução do tamanho de MOSFETs (transistor de efeito de campo de óxido metálico semicondutor) após o processo 3 nm. Em maio de 2022, a TSMC anunciou planos para iniciar a pré-produção com o processo 2 nm no final de 2024 e produção em massa em 2025;[1][2] A Intel prevê a produção em seu processo competidor (20A) para 2024,[3] e a fabricante de chips sul-coreana Samsung (2nm) para 2025.[4]

O termo "2 nanômetros" ou, alternativamente, "20 angstrom" (um termo usado pela Intel) não tem relação com nenhuma característica física real (como comprimento de porta lógica, distância entre contatos ou distância entre portas lógicas) dos transistores. É um termo comercial ou de marketing usado pela indústria de fabricação de chips semicondutores para se referir a uma nova geração aprimorada de chips semicondutores de silício em termos de aumento na densidade de transistores (ou seja, um maior grau de miniaturização), aumento de velocidade e redução do consumo de energia.[5][6]

Contexto[editar | editar código-fonte]

No final de 2018, o Presidente do Conselho de Administração da TSMC, Mark Liu, previu que o aprimoramento de chips continuaria com os processos 3 nm e 2 nm; no entanto, em 2019, outros especialistas em semicondutores estavam indecisos sobre se os processos após o 3 nm poderiam se tornar viáveis.

A TSMC começou a estudar o processo 2 nm em 2019.[7] Ela espera fazer a transição dos tipos de transistor FinFET para GAAFET ao passar de 3 nm para 2 nm.[8]

O roadmap da Intel de 2019 mostrou processos equivalentes à 3 nm e 2 nm planejados para 2025 e 2027, respectivamente.[9] Em dezembro de 2019, a Intel anunciou planos para a produção em 1.4 nm para 2029.[9]

Em agosto de 2020, a TSMC começou a construir um laboratório de P&D para a tecnologia 2 nm em Hsinchu, com expectativa de tornar-se parcialmente operacional até 2021.[10] Em setembro de 2020 (na SEMICON Taiwan 2020), foi relatado que o Presidente do Conselho de Administração da TSMC, Mark Liu, havia declarado que a empresa construiria uma fábrica para o processo 2 nm em Hsinchu em Taiwan, e que também poderia construir novas instalações em Taichung dependendo da demanda.[11] De acordo com o Taiwan Economic Daily (2020), as expectativas eram de iniciar a pre-produção no final de 2023.[12][13] Em julho de 2021, a TSMC recebeu aprovação governamental para construir sua fábrica de 2 nm; de acordo com o jornal Nikkei, a empresa espera instalar equipamentos de produção para 2 nm até 2023.[14]

No final de 2020, dezessete países da União Europeia assinaram uma declaração conjunta para desenvolver toda a sua indústria de semicondutores, incluindo o desenvolvimento de processos tão pequenos quanto 2 nm, além de projetar e fabricar processadores personalizados, atribuindo até 145 bilhões de euros em fundos.[15][16]

Em maio de 2021, a IBM anunciou que havia produzido transistores de classe de 2 nm usando três camadas de nanofolhas de silício com portas lógicas com 12 nm de comprimento.[17][18][19]

Em julho de 2021, a Intel revelou seu roadmap de processos de 2021 e anos seguintes. A empresa confirmou seu processo 2 nm chamado de Intel 20A, com o "A" referindo-se a angstrom, uma unidade equivalente a 0,1 nanômetro.[20] Ao mesmo tempo, eles introduziram um novo esquema de nomenclatura de processos que alinhava o nome dos produtos a designações semelhantes de seus principais concorrentes.[21] Prevê-se que o processo 20A da Intel seja o primeiro a passar de FinFET para transistores Gate-All-Around (GAAFET); a versão da Intel é chamada de 'RibbonFET'.[21] O roadmap de 2021 da Intel marcou a introdução do processo 20A para 2024.[21]

Em outubro de 2021, no Samsung Foundry Forum 2021, a Samsung anunciou que iniciaria a produção em massa com seu processo MBCFET 2 nm em 2025.[22]

Em abril de 2022, a TSMC anunciou que seu processo GAAFET N2 entraria na fase de pré-produção no final de 2024 e na fase de produção em 2025.[1]

Em julho de 2022, a TSMC anunciou que o processo N2 apresentará fornecimento de energia por trás do wafer e oferecerá desempenho 10–15% maior com mesmo consumo de energia ou consumo de energia 20–30% menor com mesmo desempenho e densidade de transistores acima de 20% maior em comparação com N3E.[23]

Processos da classe 2 nm[editar | editar código-fonte]

Samsung TSMC Intel
Nome do processo Desconhecido N2 20A 18A
Tipo de transistor MBCFET GAAFET FitaFET FitaFET
Densidade de transistores (MTr/mm2) Desconhecido Desconhecido Desconhecido Desconhecido
Tamanho das células de SRAM (μm2) Desconhecido Desconhecido Desconhecido Desconhecido
Distância entre portas lógica (nm) Desconhecido Desconhecido Desconhecido Desconhecido
Tamanho de interconexão (nm) Desconhecido Desconhecido Desconhecido Desconhecido
Estado de lançamento 2024: produção[22] Segunda metade de 2024: pré-produção
2025: produção em alto volume[1]
2025: produção[21] 2024: produção[21]

O que vem após os 2 nm?[editar | editar código-fonte]

Em julho de 2021, a Intel revelou planos para iniciar a produção de 18A (equivalente a 1,8 nm) em 2024.[20][3]

Em maio de 2022, o IMEC apresentou um roadmap que estende a cadência bianual de introdução de processos e a regra de nomenclatura de raiz quadrada até 2036. O roadmap termina com o processo A2 (2 angstroms), nomeado por analogia com o esquema de nomenclatura da TSMC, que deve ser introduzido até lá.[24]

Além da melhora no tamanho de estruturas de transistores e interconexão, as inovações previstas pelo IMEC são as seguintes:

  • arquitetura de transistores (forksheet FET, CFET, CFET com canal atômico);
  • implantação de equipamento EUV de alta NA (0,55) com a primeira máquina, de US$400 milhões, a ser concluída na ASML em 2023. A primeira dessas máquinas a ser enviada deve ir para a Intel em 2025;
  • redução da altura padrão das células (eventualmente para "menos de 4" faixas);
  • distribuição de energia traseira, condutores de energia internos;
  • novos materiais (rutênio para metalização, grafeno, monocamada WS2 para canal atômico);
  • novas técnicas de fabricação (metalização subtrativa, moldagem direta do metal);
  • Vãos de ar para reduzir ainda mais a permissividade relativa do dielétrico entre-metal e, portanto, a capacitância da interconexão;
  • Inovações de design de ICs (chiplets 2.5D, interconexão 3D), ferramentas de EDA mais avançadas.

Referências[editar | editar código-fonte]

  1. a b c «TSMC roadmap update: N3E in 2024, N2 in 2026, major changes incoming». AnandTech. 22 de abril de 2022 
  2. «TSMC Roadmap Update: 3nm in Q1 2023, 3nm Enhanced in 2024, 2nm in 2025». AnandTech (em inglês). 18 de outubro de 2021 
  3. a b «Intel Technology Roadmaps and Milestones». Intel (em inglês). 17 de fevereiro de 2022 
  4. «Samsung Foundry: 2nm Silicon in 2025». AnandTech (em inglês). 6 de outubro de 2021 
  5. «TSMC's 7nm, 5nm, and 3nm "are just numbers… it doesn't matter what the number is"». Consultado em 20 de abril de 2020 
  6. Samuel K. Moore (21 de julho de 2020). «A Better Way to Measure Progress in Semiconductors: It's time to throw out the old Moore's Law metric». IEEE. IEEE Spectrum. Consultado em 20 de abril de 2021 
  7. Zafar, Ramish (12 de junho de 2019), TSMC To Commence 2nm Research In Hsinchu, Taiwan Claims Report, consultado em 23 de setembro de 2020, cópia arquivada em 7 de novembro de 2020 
  8. «Highlights of the day: TSMC reportedly adopts GAA transistors for 2nm chips», www.digitimes.com, 21 de setembro de 2020, consultado em 23 de setembro de 2020, cópia arquivada em 23 de outubro de 2020 
  9. a b Cutress, Ian, «Intel's Manufacturing Roadmap from 2019 to 2029: Back Porting, 7nm, 5nm, 3nm, 2nm, and 1.4 nm», www.anandtech.com, consultado em 23 de setembro de 2020, cópia arquivada em 12 de janeiro de 2021 
  10. Wang, Lisa (26 de agosto de 2020), «TSMC developing 2nm tech at new R&D center», taipeitimes.com, consultado em 23 de setembro de 2020, cópia arquivada em 24 de janeiro de 2021 
  11. Chien-Chung, Chang; Huang, Frances (23 de setembro de 2020), «TSMC to build 2nm wafer plant in Hsinchu», focustaiwan.tw, consultado em 23 de setembro de 2020, cópia arquivada em 25 de outubro de 2020 
  12. Udin, Efe (23 de setembro de 2020), «TSMC 2NM PROCESS MAKES A SIGNIFICANT BREAKTHROUGH», www.gizchina.com 
  13. 台积电2nm工艺重大突破!2023年风险试产良率或达90% (em chinese), 22 de setembro de 2020 
  14. «Taiwan gives TSMC green light for most advanced chip plant». Nikkei Asia (em inglês). Consultado em 24 de agosto de 2021 
  15. Dahad, Nitin (9 de dezembro de 2020), «EU Signs €145bn Declaration to Develop Next Gen Processors and 2nm Technology», www.eetimes.eu, consultado em 9 de janeiro de 2021, cópia arquivada em 10 de janeiro de 2021 
  16. Joint declaration on processors and semiconductor technologies, EU, 7 de dezembro de 2020, consultado em 9 de janeiro de 2021, cópia arquivada em 11 de janeiro de 2021 
  17. Nellis, Stephen (6 de maio de 2021), «IBM unveils 2-nanometer chip technology for faster computing», Reuters (em inglês), consultado em 6 de maio de 2021, cópia arquivada em 7 de maio de 2021 
  18. Johnson, Dexter (6 de maio de 2021), «IBM Introduces the World's First 2-nm Node Chip», IEEE Spectrum, consultado em 7 de maio de 2021, cópia arquivada em 7 de maio de 2021 
  19. 12 nm gate length is the dimension defined by the IRDS 2020 to be associated with the "1.5 nm" process node:
  20. a b Cutress, Dr Ian (26 de julho de 2021). «Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!». www.anandtech.com. Consultado em 27 de julho de 2021 
  21. a b c d e Santo, Brian (27 de julho de 2021), «Intel Charts Manufacturing Course to 2025», www.eetimes.com 
  22. a b «Samsung Foundry Innovations Power the Future of Big Data, AI/ML and Smart, Connected Devices». Samsung. 7 de outubro de 2021 
  23. «TSMC Q2 2022 Earnings Call» (PDF). TSMC. 14 de julho de 2022 
  24. «Imec Presents Sub-1nm Process and Transistor Roadmap Until 2036». Tom's Hardware. 21 de maio de 2022 

Erro de citação: A etiqueta <ref> com o nome "tsmcsep2018" definida no grupo <references> "" não tem conteúdo.
Erro de citação: A etiqueta <ref> com o nome "eeasiamar2018" definida no grupo <references> "" não tem conteúdo.

Precedido por:
3 nm (FinFET/GAAFET)
MOSFET Fabricação de dispositivos semicondutores Sucedido por:
Desconhecido