EPYC

Origem: Wikipédia, a enciclopédia livre.
AMD Epyc
Microprocessador


Produzido em: junho de 2017 até o presente
Fabricante: AMD
Frequência do Processador: 2.7 GHz MHz a 4.1 GHz MHz
Litografia: 7 nm a 14 nm
Conjunto de instruções: x86-64
MMX(+), SSE1, SSE2, SSE3, SSSE3, SSE4a, SSE4.1, SSE4.2, AVX, AVX2, FMA3, CVT16/F16C, ABM, BMI1, BMI2
AES, CLMUL, RDRAND, SHA, SME
AMD-V, AMD-Vi
Microarquitetura: Zen, Zen+, Zen 2, Zen 3, Zen 3+, Zen 4, Zen 4c
Soquetes:
  • SP3
  • SP5

Epyc (também estilizado EPYC[1][2]) é uma marca de microprocessadores multi-core x86-64 projetados e vendidos pela AMD, baseados na microarquitetura Zen da empresa. Introduzidos em junho de 2017, eles são direcionados especificamente para os mercados de servidores e sistemas integrados.[3] Os processadores Epyc compartilham a mesma microarquitetura que seus equivalentes de desktop comuns, mas possuem recursos de nível empresarial, como contagens de núcleos mais altas, mais pistas PCI Express, suporte para quantidades maiores de RAM e memória cache maior. Eles também suportam configurações de sistema multi-chip e soquete duplo usando o Infinity Fabric.

História[editar | editar código-fonte]

Em março de 2017, a AMD anunciou planos de reentrar no mercado de servidores com uma plataforma baseada na microarquitetura Zen, codinome Naples, e a revelou oficialmente sob a marca Epyc em maio.[4] Em junho, a AMD lançou oficialmente os processadores da série Epyc 7001, oferecendo até 32 núcleos por soquete e permitindo um desempenho que permitiu que a Epyc fosse competitiva com a linha de produtos Intel Xeon concorrente.[5] Dois anos depois, em agosto de 2019, os processadores da série Epyc 7002 'Rome', baseados na microarquitetura Zen 2, foram lançados, dobrando a contagem de núcleos por soquete para 64 e aumentando drasticamente o desempenho por núcleo em relação à arquitetura de última geração.

Em março de 2021, a AMD lançou a série Epyc 7003 'Milan', baseada na microarquitetura Zen 3.[6] O Epyc Milan trouxe os mesmos 64 núcleos que o Epyc Rome, mas com desempenho por núcleo muito maior, com o EPYC 7763 superando o EPYC 7702 em 22%, apesar de ter o mesmo número de núcleos e threads.[7] Uma atualização da série Epyc 7003 'Milan' com 3D V-Cache chamado Milan-X foi lançada em 21 de março de 2022, usando os mesmos núcleos do Epyc Milan, mas com 512 MB adicionais de cache empilhados nas matrizes de computação, trazendo a quantidade total de cache por CPU para 768 MB.[8]

Em 8 de novembro de 2021, a AMD apresentou as próximas geraçãoes do AMD EPYC, apresentando também o novo soquete LGA-6096 SP5 que suportaria as próximas gerações de chips Epyc. Com o codinome Genoa, as primeiras CPUs Epyc baseadas em Zen 4 serão construídas em um nó de processo TSMC de 5 nm e suportam até 96 núcleos e 192 threads por soquete, juntando com 12 canais de DDR5,[9] 128 pistas PCIe 5.0 e Compute Express Link 1.1.[10] A AMD também compartilhou informações sobre o chip irmão de Gênova, codinome Bergamo. Bergamo será baseado em uma microarquitetura Zen 4 modificada chamado Zen 4c, projetado para permitir contagens de núcleos muito mais altas e eficiência ao custo de menor desempenho de núcleo único, visando provedores de núvem e cargas de trabalho, em comparação com cargas de trabalho de computação tradicionais de alto desempenho.[11] Bergamo será compatível com o Socket SP5 e suportará até 128 núcleos e 256 threads por soquete.[12]

Codinomes das CPU AMD EPYC[13][14]
Geração Ano Nome Núcleos
2017 Naples 32 × Zen 1
2019 Rome 64 × Zen 2
2021 Milan 64 × Zen 3
2022 Milan-X 64 x Zen 3
2022 Genoa 96 × Zen 4
2023 Genoa-X 96 × Zen 4
Bergamo 128 × Zen 4c
Siena 64 × Zen 4
2024 Turin
2025 Venice

Projeto[editar | editar código-fonte]

Uma segunda geração Epyc 7702 delidded, mostrando a configuração da matriz

As CPUs Epyc usam um design de módulo multi-chip para permitir rendimentos mais altos para uma CPU do que as matrizes monolíticas tradicionais. As CPUs Epyc de primeira geração são compostas por quatro matrizes de computação de 14 nm, cada uma com até 8 núcleos.[15][16] Os núcleos são desativados simetricamente em matrizes para criar produtos com compartimentos menores com menos núcleos, mas a mesma E/S e espaço de memória. As CPUs Epyc de segunda e terceira geração são compostas por oito matrizes de computação construídas em um nó de processo de 7 nm e uma matrizes de E/S grande construída em um nó de processo de 14 nm.[17] As CPUs Milan-X de terceira geração usam vias avançadas de silício para empilhar uma matriz adicional em cima de cada uma das 8 matrizes de computação, adicionando 64 MB de cache L3 por matriz.[18]

O Epyc suporta operação de soquete único e soquete duplo. Em uma configuração de sequete duplo, 64 pistas PCIe de cada CPU são alocadas para interconexão Infinity Fabric proprietária da AMD para permitir largura de banda total entre ambas as CPUs.[19] Como tal, uma configuração de soquete duplo tem o mesmo número de pistas PCIe utilizáveis que uma configuração de soquete únic. As CPUs Epyc de primeira geração tinham 128 pistas PCIe 3.0, enquanto a segunda e terceria geração tinham 128 pistas PCIe 4.0. Todas as CPUs Epyc atuais são equipadas com até oito canais de DDR4 em velocidades variadas, embora a próxima geração de CPUs Genoa seja confirmada pela AMD para suportar até doze canais de DDR5.[9][20]

Ao contrário do Opteron, dos equivalentes da Intel e dos processadores de desktop da AMD (excluindo Socket AM1), os processadores Epyc não possuem chipset - também conhecido como sistema em um chip. Isso significa que a maioria dos recursos necessários para tornar os servidores totalmente funcionais (como memória, PCI Express, controladores SATA, etc.) são totalmente integrados ao processador, eliminando a necessidade de um chipset ser colocado na placa-mãe. Alguns recursos podem exigir o uso de chips controladores adicionais para serem utilizados.

Uma fotografia infravermelha próxima de um Epyc 7702 de segunda geração delidded

Recepção[editar | editar código-fonte]

A recepção inicial ao Epyc foi geralmente positiva.[20] O Epyc geralmente supera as CPUs Intel nos casos em que os núcleos podem funcionar de forma independente, como em computação de alto desempenho e aplicativos de big data. A primeira geração do Epyc ficou para trás nas tarefas de banco de dados em comparação com as peças Xeon da Intel devido à maior latência de cache.[20] Em 2021, a Meta Platforms selecionou os chips Epyc para seus data centers metaversos.[21]

Tabelas de recursos[editar | editar código-fonte]

CPUs[editar | editar código-fonte]

Tabela de recursos de CPU

Produtos[editar | editar código-fonte]

Servidor[editar | editar código-fonte]

Epyc de primeira geração (Naples)[editar | editar código-fonte]

A tabela a seguir lista os dispositivos que usam o design de primeira geração.

Um sufixo "P" denota suporta para apenas uma configuração de soquete único. Os modelos não-P usam 64 pistas PCI-E de cada processador para a comunicação entre os processadores.

Modelo Data de lançamento
e preço
Fab Chiplets Cores
(threads)
Core config[nota 1] Taxa de clock (GHz) Cache Socket &
configuração
Pistas PCIe Suporte de memória TDP
Base Boost L1 L2 L3
All-core Max
EPYC 7351P[22][23][24] Junho de 2017[25]
US $750
14 nm 4 × CCD &0000000000000016.00000016 (32) 8 × 2 2.4 2.9 64 KB inst.
32 KB data
por core
512 KB
por core
64 MB
8 MB por CCX
SP3
1P
128 DDR4-2666
8 channels
155/170 W
EPYC 7401P[22] [23][24] Junho de 2017[25]
US $1075
&0000000000000024.00000024 (48) 8 × 3 2.0 2.8 3.0
EPYC 7551P[22][23][24] Junho de 2017[25]
US $2100
&0000000000000032.00000032 (64) 8 × 4 2.55 180 W
EPYC 7251[22][23][24] Junho de 2017[25]
US $475
&0000000000000008.0000008 (16) 8 × 1 2.1 2.9 32 MB
4 MB por CCX
SP3
2P
DDR4-2400
8 channels
120 W
EPYC 7261[26] Meados de 2018
US $700+
2.5 64 MB
8 MB por CCX
DDR4-2666
8 channels
155/170 W
EPYC 7281[22][23][24] Junho de 2017[25]
US $650
&0000000000000016.00000016 (32) 8 × 2 2.1 2.7 32 MB
4 MB por CCX
EPYC 7301[22][23][24] Junho de 2017[25]
US $800+
2.2 64 MB
8 MB por CCX
EPYC 7351[22][23][24] Junho 2017[25]
US $1100+
2.4 2.9 2.9
EPYC 7371[27] Final de 2018
US $1550+
3.1 3.6 3.8 180 W
EPYC 7401[22][23][24] Junho de 2017[25]
US $1850
&0000000000000024.00000024 (48) 8 × 3 2.0 2.8 3.0 155/170 W
EPYC 7451[22][23][24] Junho de 2017[25]
US $2400+
2.3 2.9 3.2 180 W
EPYC 7501[22][23][24] Junho de 2017[25]
US $3400
&0000000000000032.00000032 (64) 8 × 4 2.0 2.6 3.0 155/170 W
EPYC 7551[22][23][24] Junho de 2017[25]
US $3400+
2.55 180 W
EPYC 7571 Final de 2018
N/A
2.2 ? 200 W?
EPYC 7601[22][23][24] Junho de 2017[25]
US $4200
2.7 3.2 180 W
  1. Complexos de núcleo ativo (CCX) × núcleos ativos por CCX.
Uma configuração de matriz Epyc de primeira geração
Uma CPU Epyc em um soquete SP3

Epyc de segunda geração (Rome)[editar | editar código-fonte]

Processador Epyc de primeira geração

Em novembro de 2018, a AMD anunciou o Epyc 2 em seu evento Next Horizon, a segunda geração de processadores Epyc com codinome "Rome" e baseado na microarquitetura Zen 2.[28] Os processadores apresentam até oito processadores "chiplet" baseados em 7 nm com um chip de E/S baseado em 14 nm fornecendo 128 pistas PCIe no centro interconectadas via Infinity Fabric. Os processadores suportam até 8 canais de RAM DDR4 de até 4 TB e apresentam suporte para PCIe 4.0. Esses processadores têm até 64 núcleos com 128 threads SMT por soquete.[29] O 7 nm "Rome" é fabricado pela TSMC.[17] Foi lançado em 7 de agosto de 2019.[30]

Recursos comuns dessas CPUs:

  • Codinome "Rome"
  • Microarquitetura Zen 2
  • Processo TSMC de 7 nm
  • Soquete SP3
  • O número de pistas PCI-E: 128
  • Data de lançamento: 7 de agosto de 2019, exceto EPYC 7H12, que foi lançado em 18 de setembro de 2019
  • Suporte de memória: DDR4-3200 de oito canais
Modelo Preço Fab Chiplets Cores
(Thread)
Core config[nota 1] Taxa de clock
(GHz)
Cache Socket &
configuração
TDP
Base Boost L1 L2 L3
EPYC 7232P US $450 TSMC
7FF
2 × CCD
1 × I/OD
8 (16) 4 × 2 3.1 3.2 32 KB inst.
32 KB data
por core
512 KB
por core
32 MB
8 MB por CCX
SP3
1P
120 W
EPYC 7302P US $825 4 × CCD
1 × I/OD
16 (32) 8 × 2 3 3.3 128 MB
16 MB por CCX
155 W
EPYC 7402P US $1250 24 (48) 8 × 3 2.8 3.35 180 W
EPYC 7502P US $2300 32 (64) 8 × 4 2.5 3.35
EPYC 7702P US $4425 8 × CCD
1 × I/OD
64 (128) 16 × 4 2 3.35 256 MB
16 MB por CCX
200 W
EPYC 7252 US $475 2 × CCD
1 × I/OD
8 (16) 4 × 2 3.1 3.2 64 MB
16 MB por CCX
SP3
2P
120 W
EPYC 7262 US $575 4 × CCD
1 × I/OD
8 × 1 3.2 3.4 128 MB
16 MB por CCX
155 W
EPYC 7272 US $625 2 × CCD
1 × I/OD
12 (24) 4 × 3 2.9 3.2 64 MB
16 MB por CCX
120 W
EPYC 7282 US $650 16 (32) 4 × 4 2.8 3.2
EPYC 7302 US $978 4 × CCD
1 × I/OD
8 × 2 3 3.3 128 MB
16 MB por CCX
155 W
EPYC 7352 US $1350 24 (48) 8 × 3 2.3 3.2
EPYC 7402 US $1783 8 × 3 2.8 3.35 180 W
EPYC 7452 US $2025 32 (64) 8 × 4 2.35 3.35 155 W
EPYC 7502 US $2600 8 × 4 2.5 3.35 180 W
EPYC 7532 US $3350 8 × CCD
1 × I/OD
16 × 2 2.4 3.3 256 MB
16 MB por CCX
200 W
EPYC 7542 US $3400 4 × CCD
1 × I/OD
8 × 4 2.9 3.4 128 MB
16 MB por CCX
225 W
EPYC 7552 US $4025 6 × CCD
1 × I/OD
48 (96) 12 × 4 2.2 3.3 192 MB
16 MB por CCX
200 W
EPYC 7642 US $4775 8 × CCD
1 × I/OD
16 × 3 2.3 3.3 256 MB
16 MB por CCX
225 W
EPYC 7662 US $6150 64 (128) 16 × 4 2 3.3 225 W
EPYC 7702 US $6450 2 3.35 200 W
EPYC 7742 US $6950 2.25 3.4 225 W
EPYC 7H12 2.6 3.3 280 W
EPYC 7F32 US $2100 4 × CCD
1 × I/OD
8 (16) 8 × 1 3.7 3.9 128 MB
16 MB por CCX
180 W
EPYC 7F52 US $3100 8 × CCD
1 × I/OD
16 (32) 16 × 1 3.5 3.9 256 MB
16 MB por CCX
240 W
EPYC 7F72 US $2450 6 × CCD
1 × I/OD
24 (48) 12 × 2 3.2 3.7 192 MB
16 MB por CCX
240 W
  1. Complexos de núcleo ativo (CCX) × núcleos ativos por CCX.
A parte inferior de uma CPU Epyc montada em um suporte de plástico

Epyc de terceira geração (Milan)[editar | editar código-fonte]

No HPC-AI Advisory Council do Reino Unido em outubro de 2019, a AMD declarou especificações para os chips Milan, Epyc baseados na microarquitetura Zen 3.[31] Os chips Milan usarão o Socket SP3, com até 64 núcleos no pacote, e suportarão oito canais DDR4 SDRAM e 128 pistas PCIe 4.0.[31] Também anunciou planos para a geração subsequente de chips, codinome Genoa, que será baseado na microarquitetura Zen 4 e usará Socket SP5.[31]

As CPUs Milan foram lançadas pela AMD em 15 de março de 2021.[32]

As CPUs Milan-X foram lançadas em 21 de março de 2022.[8] As CPUs Milan-X usam a tecnologia 3D V-Cache para aumentar a capacidade máxima de cache L3 por soquete de 256 MB para 768 MB.[33][34][35]

Modelo Preço Fab Chiplets Cores
(Thread)
Core config[nota 1] Taxa de clock
(GHz)
Cache Socket &
configuração
TDP
Base Boost L1 L2 L3
EPYC 7773X US $8800 7 nm 8 × CCD
1 × I/OD
64 (128) 8 × 8 2.20 3.50 32 KB inst.
32 KB data
por core
512 KB
por core
768 MB
96 MB por CCX
SP3
2P
280 W
EPYC 7763 US $7890 2.45 3.40 256 MB
32 MB por CCX
SP3
2P
280 W
EPYC 7713 US $7060 2.00 3.675 225 W
EPYC 7713P US $5010 SP3
1P
EPYC 7663 US $6366 56 (112) 8 × 7 2.00 3.50 SP3
2P
240 W
EPYC 7643 US $4995 48 (96) 8 × 6 2.30 3.60 225 W
EPYC 7573X US $5590 32 (64) 8 × 4 2.80 3.60 768 MB
96 MB por CCX
280 W
EPYC 75F3 US $4860 2.95 4.00 256 MB
32 MB por CCX
EPYC 7543 US $3761 2.80 3.70 225 W
EPYC 7543P US $2730 256 MB
32 MB por CCX
SP3
1P
EPYC 7513 US $2840 2.60 3.65 128 MB
16 MB por CCX
SP3
2P
200 W
EPYC 7453 US $1570 4 × CCD
1 × I/OD
28 (56) 4 × 7 2.75 3.45 64 MB
16 MB por CCX
225 W
EPYC 7473X US $3900 8 × CCD
1 × I/OD
24 (48) 8 × 3 2.80 3.70 768 MB
96 MB por CCX
240 W
EPYC 74F3 US $2900 3.20 4.00 256 MB
32 MB por CCX
EPYC 7443 US $2010 4 × CCD
1 × I/OD
4 × 6 2.85 4.00 128 MB
32 MB por CCX
200 W
EPYC 7443P US $1337 SP3
1P
EPYC 7413 US $1825 2.65 3.60 SP3
2P
180 W
EPYC 7373X US $4185 8 × CCD
1 × I/OD
16 (32) 8 × 2 3.05 3.80 768 MB
96 MB por CCX
240 W
EPYC 73F3 US $3521 3.50 4.00 256 MB
32 MB por CCX
EPYC 7343 US $1565 4 × CCD
1 × I/OD
4 × 4 3.20 3.90 128 MB
32 MB por CCX
190 W
EPYC 7313 US $1083 3.00 3.70 155 W
EPYC 7313P US $913 SP3
1P
EPYC 72F3 US $2468 8 × CCD
1 × I/OD
8 (16) 8 × 1 3.70 4.10 256 MB
32 MB por CCX
SP3
2P
180 W
  1. Core Complexes (CCX) × cores por CCX


Integrado[editar | editar código-fonte]

Epyc de primeira geração (Snowy Owl)[editar | editar código-fonte]

Em fevereiro de 2018, a AMD também anunciou a série EPYC 3000 de CPUs Zen incorporadas.[36]

Modelo Data de lançamento
e preço
Fab Chiplets Cores
(threads)
Core Config[nota 1] Taxa de clock (GHz) Cache Socket Pistas PCIe Ethernet Suporte de memória TDP Temperatura de junção (°C)
Base Boost L1 L2 L3
All-core Max
EPYC 3101 Fevereiro de 2018 14 nm 1 x CCD 4 (4) 1 × 4 2.1 2.9 2.9 64 KB inst.
32 KB data
por core
512 KB
por core
8 MB SP4r2 32 4 × 10GbE DDR4-2666
dual-channel
35 W 0-95
EPYC 3151 4 (8) 2 × 2 2.7 2.9 2.9 16 MB
8 MB por CCX
45 W
EPYC 3201 8 (8) 2 × 4 1.5 3.1 3.1 DDR4-2133
dual-channel
30 W
EPYC 3251 8 (16) 2.5 3.1 3.1 DDR4-2666
dual-channel
55 W 0-105
EPYC 3255 Desconhecido 25-55 W -40-105
EPYC 3301 Fevereiro de 2018 2 x CCD 12 (12) 4 × 3 2.0 2.15 3.0 32 MB
8 MB por CCX
64 8 × 10GbE DDR4-2666
quad-channel
65 W 0-95
EPYC 3351 12 (24) 1.9 2.75 3.0 SP4 60-80 W 0-105
EPYC 3401 16 (16) 4 × 4 1.85 2.25 3.0 SP4r2 85 W
EPYC 3451 16 (32) 2.15 2.45 3.0 SP4 80-100 W
  1. Core Complexes (CCX) × cores per CCX


Variantes chinesas[editar | editar código-fonte]

Uma variante criada para o mercado de servidores chinês por uma joint venture AMD-Chinesa é o sistema Hygon Dhyana em um chip.[37][38] Nota-se que é uma variante do AMD Epyc, e é tão semelhante que "há pouca ou nenhuma diferença entre os chips".[37] Notou-se que há "menos de 200 linhas de novo código do kernel' para suporte ao kernel Linux, e que o Dhyana é "principalmente uma CPU Zen renomeada para o mercado de servidores chinês".[38] Benchmarks posteriores mostraram que certas instruções de ponto flutuante estão tendo um desempenho pior e o AES está desabilitado, provavelmente para cumprir as retrições de exportação dos EUA.[39] As extensões de criptografia são substituídas por variantes chinesas.[40]


Referências

  1. «Produtos AMD EPYC™». Consultado em 4 de outubro de 2022 
  2. «Processadores AMD EPYC™». Consultado em 4 de outubro de 2022 
  3. Cutress, Ian. «Computex 2017: AMD Press Event Live Blog». www.anandtech.com 
  4. Kampman, Jeff (16 de maio de 2017). «AMD's Naples datacenter CPUs will make an Epyc splash». Tech Report. Consultado em 4 de outubro de 2022 
  5. Cutress, Ian (20 de junho de 2017). «AMD's Future in Servers: New 7000-Series CPUs Launched and EPYC Analysis». Anandtech.com. Consultado em 4 de outubro de 2022 
  6. Paul Alcorn (15 de março de 2021). «Watch AMD's EPYC 7003 Milan Launch Here». Tom's Hardware (em inglês). Consultado em 4 de outubro de 2022 
  7. «AMD EPYC 7763 vs AMD EPYC 7702 [cpubenchmark.net] by PassMark Software». www.cpubenchmark.net. Consultado em 4 de outubro de 2022 
  8. a b «AMD EPYC 7003 "Milan-X" launches March 21st, specs and pricing leaked». VideoCardz.com (em inglês). Consultado em 4 de outubro de 2022 
  9. a b Cutress, Dr Ian. «AMD Gives Details on EPYC Zen4: Genoa and Bergamo, up to 96 and 128 Cores». www.anandtech.com. Consultado em 4 de outubro de 2022 
  10. Mujtaba, Hassan (28 de fevereiro de 2021). «AMD EPYC Genoa CPU Platform Detailed - Up To 96 Zen 4 Cores, 192 Threads, 12-Channel DDR5-5200, 128 PCIe Gen 5 Lanes, SP5 'LGA 6096' Socket». Wccftech (em inglês). Consultado em 4 de outubro de 2022 
  11. servethehome (8 de novembro de 2021). «AMD Bergamo to hit 128 Cores and Genoa at 96 Cores». ServeTheHome (em inglês). Consultado em 4 de outubro de 2022 
  12. Mujtaba, Hassan (10 de janeiro de 2022). «Another AMD EPYC Genoa 'Zen 4' CPU Leaks Out, This Time A 16 Core Chip With 2 Active CCDs». Wccftech (em inglês). Consultado em 4 de outubro de 2022 
  13. Cutress, Ian (27 de maio de 2019). «AMD Confirms Zen 4 EPYC Codename, and Elaborates on Frontier Supercomputer CPU». AnandTech.com 
  14. Anandtech. «AMD Updated EPYC Roadmap: 5th Gen EPYC "Turin" Announced, Coming by End of 2024» 
  15. Cutress, Ian (7 de março de 2017). «AMD Prepares 32-Core Naples CPUs for 1P and 2P Servers: Coming in Q2». Anandtech. Consultado em 4 de outubro de 2022 
  16. Morris, John (13 de março de 2018). «Inside GlobalFoundries' long road to the leading edge». ZDNet. Consultado em 4 de outubro de 2022 
  17. a b Smith, Ryan (26 de julho de 2018). «AMD "Rome" EPYC CPUs to Be Fabbed By TSMC». AnandTech. Consultado em 4 de outubro de 2022 
  18. «AMD Milan-X CPU with 3D V-Cache Available in Four SKUs, Up to 64-Cores». HPCwire (em inglês). 21 de março de 2022. Consultado em 4 de outubro de 2022 
  19. Kampman, Jeff (7 de março de 2017). «AMD's Naples platform prepares to take Zen into the datacenter». Tech Report. Consultado em 4 de outubro de 2022 
  20. a b c De Gelas, Johan; Cutress, Ian (11 de julho de 2017). «Sizing Up the Servers: Intel's Skylake-SP Xeon vs AMD's EPYC 7000». Anandtech. Consultado em 4 de outubro de 2022 
  21. Sozzi, Brian (8 de novembro de 2021). «Chipmaker AMD just scored a big deal with Meta». finance.yahoo.com (em inglês) 
  22. a b c d e f g h i j k l «AMD EPYC 7000 Series Processors: Leading Performance for the Cloud Era» (PDF). Advanced Micro Devices, Inc. Agosto de 2018. p. 2 
  23. a b c d e f g h i j k l Cutress, Ian (20 de junho de 2017). «AMD's Future in Servers: New 7000-Series CPUs Launched and EPYC Analysis». Anand Tech. Consultado em 22 de setembro de 2022 
  24. a b c d e f g h i j k l Cutress, Ian (20 de junho de 2017). «AMD EPYC Launch Event Live Blog». Anand Tech. Consultado em 22 de setembro de 2022 
  25. a b c d e f g h i j k l Kennedy, Patrick (16 de maio de 2017). «AMD EPYC New Details on the Emerging Server Platform». Serve The Home. Consultado em 22 de setembro de 2022 
  26. «AMD EPYC 7261 | AMD». www.amd.com. Consultado em 22 de setembro de 2022 
  27. «AMD PS7371BEVGPAF EPYC 7371 3.1GHz 16-Core». www.gamepc.com. Consultado em 22 de setembro de 2022 
  28. «AMD Takes High-Performance Datacenter Computing to the Next Horizon». AMD (em inglês). Consultado em 4 de outubro de 2022 
  29. Gordon Mah Ung (7 de novembro de 2018). «What AMD's 64-core 'Rome' server CPU tells us about Ryzen 2». PCWorld. Consultado em 4 de outubro de 2022 
  30. «2nd Gen AMD EPYC Processors Set New Standard for the Modern Datacenter with Record-Breaking Performance and Significant TCO Savings». AMD. 7 de agosto de 2019. Consultado em 4 de outubro de 2022 
  31. a b c Alcorn, Paul (5 de outubro de 2019). «AMD dishes on Zen 3 and Zen 4 architecture, Milan and Genoa roadmap». Tom's Hardware. Consultado em 4 de outubro de 2022 
  32. Trader, Tiffany (15 de março de 2021). «AMD Launches Epyc 'Milan' with 19 SKUs for HPC, Enterprise and Hyperscale». HPCwire (em inglês) 
  33. «AMD's Milan-X slide deck». 21 de março de 2022 
  34. «Anandtech's Milan-X article» 
  35. «AMDs Exascale-Hammer: Epyc 3 mit 804 MByte Cache, Instinct MI200 mit 47,9 TFlops». c't Magazin (em alemão). 8 de novembro de 2021 
  36. Alcorn, Paul (21 de fevereiro de 2018). «AMD Launches Ryzen Embedded V1000, EPYC Embedded 3000 Processors». tom's HARDWARE. Consultado em 4 de outubro de 2022 
  37. a b Alcorn, Paul (6 de julho de 2018). «China Finds Zen: Begins Production Of x86 Processors Based On AMD's IP». Tom's Hardware. Consultado em 4 de outubro de 2022 
  38. a b Larabel, Michael (9 de junho de 2018). «Hygon Dhyana: Chinese x86 Server CPUs Based On AMD Zen». Phoronix. Consultado em 4 de outubro de 2022 
  39. Cutress, Ian. «Testing a Chinese x86 CPU: A Deep Dive into Zen-based Hygon Dhyana Processors». www.anandtech.com 
  40. Cutress, Ian, & Wilson, Wendell. «Testing a Chinese x86 CPU: A Deep Dive into Zen-based Hygon Dhyana Processors». www.anandtech.com. Consultado em 4 de outubro de 2022