Zen (primeira geração)

Origem: Wikipédia, a enciclopédia livre.
AMD Zen
A microarquitetura Zen é empregada nos processadores da marca Ryzen
Transistores:
14 nm (FinFET)[1]
Lançamento
2 março 2017; há 7 anos[2]
Projetado por
AMD
Fabricantes comuns
GlobalFoundries[1]
Núcleos
Cache L1
64 KB de instrução, 32 KB de dados por núcleo
Cache L2
512 KB por núcleo
Cache L3
8 MB por CCX quad-core (APU: 4 MB)
Predecessor
Excavator (4th gen)
Sucessor
Zen+
Arquitetura
AMD64 (x86-64)
Soquetes
Soquete AM4[8]
Socket TR4
Socket SP3
Nome(s) de código do produto
Summit Ridge (Desktop)
Whitehaven (HEDT)
Raven Ridge (APU/Embedded)
Naples (Server CPU)
Snowy Owl (Server APU)[9]
TDP
  • 35–65 W (Desktop APUs)
  • 65–180 W (Desktop/Server CPUs)
  • 5–35 W (Notebook APUs)
  • Até 250 W (Server APUs)
Linhas
Ryzen
Ryzen Threadripper
Epyc
Athlon
Código CPUID
Family 17h

Zen é o codinome para a primeira interação em uma família de microarquiteturas de processadores de computador da AMD. Ele foi usado pela primeira vez com sua série de CPUs Ryzen em fevereiro de 2017.[3] O primeiro sistema de visualização baseado em Zen foi demonstrado na E3 2016 e detalhado pela primeira vez em um evento realizado a um quarteirão do Intel Developer Forum 2016. Os primeiros CPUs baseados em Zen, codinome "Summit Ridge", chegaram ao mercado no início de março de 2017, processadores de servidor Epyc derivados de Zen lançados em junho de 2017[10] e APUs baseadas em Zen chegaram em novembro de 2017.[11]

Zen é um design de folha limpa que difere da arquitetura Bulldozer de longa data anterior da AMD. Processadores baseados em Zen usam um processo FinFET de 14 nm, são supostamente mais eficientes em termos de energia e podem executar significativamente mais instruções por ciclo. O SMT foi introduzido, permitindo que cada núcleo execute dois threads. O sistema de cache também foi redesenhado, tornando o cache L1 write-back. Os processadores Zen usam três soquetes diferentes; os chips Ryzen desktop e móvel usam o soquete AM4, trazendo suporte a DDR4; os chips Threadripper baseados em Zen para desktop de última geração suprotam RAM DDR4 de quatro canais e oferecem 64 pistas PCIe 3.01 (vs 24 pistas), usando o soquete TR4;[12][13] e processadores de servidor Epyc oferecem 128 pistas PCI 3.0 e DDR4 octa-channel usando o soquete SP3.

Zen é baseado em um design SoC.[14] Os controladores de memória PCIe, SATA e USB São incorporados no(s) mesmo(s) chip(s) que os núcleos do processador. Isso temvantagens em largura de banda e potência, em detrimento dacomplexidade do chip e da área de matriz.[15] Esse design de SoC permite que a microarquitetura Zen seja dimensionada de laptops e mini PCs de formato pequeno para desktops e servidores de última geração.

Até 2020, 260 milhões de núcleos Zen já foram enviados pela AMD.[16]

Design[editar | editar código-fonte]

Uma ilustração altamente simplificada da microarquitetura Zen: um núcleo tem um total de 512 KB de cache L2.
Ryzen 3 1200 Die Shot
Fotomontagem de uma CPU Zen delidded com uma matriz gravada.
Um processador AMD EPYC delidded usado em servidores; As quatro matrizes são semelhantes às usadas nos processadores convencionais. Todos os processadores EPYC contêm quatro matrizes para fornecer suporte estrutural ao IHS (Integrated Heat Spreader).[17][18][19]
Uma APU AMD Athlon 3000G delidded, baseada na arquitetura Zen. A matriz é fisicamente menor do que a dos processadores Zen convencionais.
Die shot de um AMD Athlon 3000G

De acorod com a AMD, o foco principal do Zen é aumentar o desempenho por núcleo.[20][21][22] Recursos novos ou aprimorados incluem:[23]

  • O cache L1 foi alterado de write-through para write-back, permitindo menor latência e maior largura de banda.
  • A arquitetura SMT (multithreading simultâneo) permite dois threds por núcleo, um afastamento do design CMT (multithread clusterizado) usado na arquitetura Bulldozer anterior. Este é um recurso oferecido anteriormente em alguns processadore IBM, Intel e Oracle.[24]
  • Um bloco de construção fundamental para todas as CPUs baseadas em Zen é o Core Complex (CCX) que consistem em quatro núcleos e seus caches associados. Processadores com mais de quatro núcleos consistem em vários CCXs conectados pelo Infinity Fabric.[25] Processadores com contagens de núcleos não múltiplos de quatro têm alguns núcleos desabilitados.
  • Quatro ALUs, duas AGUs/unidades de armazenamento de carga e duas unidades de de ponto flutuante por núcleo.[26]
  • Cache de microoperação "grande" recém-introduzido.[27]
  • Cada núcleo SMT pode despachar até seis micro-ops por ciclo (uma combinação de 6 micro-ops inteiros e 4 micro-ops de ponto flutuante por ciclo).[28][29]
  • Largunda de banda L1 e L2 quase 2x mais rápida, com largunda de banda total do cache L3 até 5x.
  • Acionamento do clock.
  • Maiores filas de retirada, carregamento e armazenamento.
  • Predição de desvio aprimorada usando um sistema perceptron hash com Indirect Target Array semelhante à microarquitetura Bobcat,[30] algo que foi comparado a umm rede neural pelo engenheiro da AMD Mike Clark.[31]
  • O preditor de ramigicação é desacoplado do estágio de busca.
  • Um mecanismo de pilha dedicado para modificar o ponteiro de pilha, semelhante ao dos processadores Intel Haswell e Broadwell.[32]
  • Eliminação de movimentação, um método que reduz a movimentação física de dados para reduzir o consumo de energia.
  • Compatibilidade binária com o Skylake da Intel (excluindo VT-x e MSRs privados):
    • Suporte RDSEED, um conjunto de instruções geradoras de números aleatórios de hardware de alto desempenho introduzidos no Broadwell.[33]
    • Suporte para instruções SMAP, SMEP, XSAVEC/XSAVES/XRSTORS e CLFLUSHOPT.[33]
    • Suporte ADX.
    • Suporte SHA.
  • Instrução CLZERO para limpar uma linha de cache.[33] Útil para lidar com exceções de verificação de máquina relacionadas a ECC.
  • PTE (entrada de tabela de páginas) coalescendo, que combina tabelas de páginas de 4 kB em tmanho de páginas de 32 kB.
  • "Pure Power" (sensores de monitoramento de energia mais precisos).[34]
    • Suporte para medição de limite de potência média em execução (RAPL) no estilo Intel.[35]
  • Pré-busca inteligente.
  • Aumento de precisão.
  • eXtended Frequency Range (XFR), um recurso de overclocking automatizado que aumenta a velocidade do clock além da frequência turbo anunciada.[36]
Esta é a primeira vez em muito tempo que nós engenheiros temos total liberdade para construir um processador do zero e fazer o melhor que pudermos. É um projeto de vários anos com uma equipe realmente grande. É como uma maratona com alguns sprints no meio. A equipe está trabalhando muito, mas eles podem ver a linha de chegada. Garanto que proporcionará uma enorme melhoria no desempenho e no consumo de energia em relação à geração anterior.

A arquitetura Zen é construída em um processo FinFET de 14 nanômetros subcontratado à GlobalFoundries, [37] que por sua vez licencia seu processo de 14 nanômetros da Samsung Electronics.[38] Isso oferece maior eficiência do que os processos de 32 nm e 28 nm de CPUs AMD FX anteriores e APUs AMD, respectivamente.[39] A família de CPUs Zen "Summit Ridge" usa o soquete AM4 e possui suporte a DDR4 e um TDP de 95 W (energia de design térmico).[39] Embora os roadmaps mais recentes não confirmem o TDP para produtos de desktop, eles sugerem uma gama para produtos móveis de baixo consumo com até dois núcleos Zen de 5 a 15 W e 15 a 35 W para produtos móveis orientados ao desempenho com até quatro núcleos Zen.[40]

Cada núcleo Zen pode decodificar quatro instruções por ciclo de clock e inclui um cace micro-op que alimenta dois agendadores, um para os segmentos inteiro e de ponto flutuante.[41] Cada núcleo tem duas unidades de geração de endereço, quatro unidades inteiras e quatro unidades de ponto flutuante. Duas das unidades de ponto flutuante são somadores e duas são somadoras de multiplicação. No entanto, o uso de operações de adição de multiplicação pode impedir a operação de adição simultânea em uma das unidades somadoras.[42] Há também melhorias no preditor de desvio. O tamanho do cache L1 é de 64 KB para instruções por núcleo e 32 KB para dados por núcleo. O tamanho do cache L2 é de 512 KB pór núcleo e o L3 é de 1 a 2 MB por núcleo. Os caches L3 oferecem 5x a largura de banda dos designs anteriores da AMD.

História e desenvolvimento[editar | editar código-fonte]

A AMD começou a planejar a microarquitetura Zen logo após a recomendação de Jim Keller em agosto de 2012.[43] A AMD revelou formalmente o Zen em 2015.

A equipe responsável pelo Zen foi liderada por Keller (que saiu em setembro de 2015 após um mandato de 3 anos) e a líder da equipe Zen Suzanne Plummer.[44][45] O arquiteto-chefe do Zen foi o membro sênior da AMD, Michael Clark.[46][47][48]

O Zen foi originalmente planejado para 2017 seguindo o núcleo irmão K12 baseado em ARM64, mas no Dia do Analista Financeiro de 2015 da AMD foi revelado que o K12 foi adiado em favor do design Zen, para permitir que ele entrasse no mercado dentro do prazo de 2016,[8] com o lançamento dos primeiros processadores baseados em Zen esperado para outubro de 2016.[49]

Em novembro de 2015, uma fonte dentro da AMD informou que os microprocessadores Zen foram testados e "atenderam a todas as expectativas" sem "engarrafamentos significativos encontrados".[1][50]

Em dezembro de 2015, havia rumores de que a Samsung poderia ter sido contratada como fabricante dos processadores FinFET de 14 nm da AMD, incluindo Zen e a próxima arquitetura de GPU Polaris da AMD.[51] Isso foi esclarecido pelo anúncio daAMD em julho de 2016 de que os produtos foram produzidos com sucesso no processo FinFET de 14 nm da Samsung.[52] A AMD afirmou que a Samsung seria usada "se necessário", argumentando que isso reduziria o risco para a AMD, diminuindo a dependÊncia de qualquer fundição.

Em dezembro de 2019, a AMD começou a lançar produtos Ryzen de primeira geração construídos usando a arquitetura Zen+ de segunda geração.[53]

Vantagens sobre os antecessores[editar | editar código-fonte]

Processo de manufatura[editar | editar código-fonte]

Processadores baseados em Zen usam silício FinFET de 14 nm.[54] Esses processadores são supostamente produzidos na GlobalFoundries.[55] Antes do Zen, o menor tmanho de processo da AMD era de 28 nm, conforme utilizado por suas microarquiteturas Steamroller e Excavator.[56][57] A concorrência imediata, as microarquiteturas Skylake e Kaby Lake da Intel, também são fabricadas em FinFET de 14 nm;[58] embora a Intel planejasse iniciar o lançamento de peças de 10 nm no final de 2017.[59] A Intel não conseguiu atingir esse objetivo e, em 2021, apenas chips móveis foram produzidos com o processo de 10nm. Em comparação com o FinFET de 14 nm da Intel, a AMD, afirmou em fevereiro de 2017 que os núcleos Zen seriam 10% menores.[60] A Intel anunciou mais tarde em julho de 2018 que os processadores mainstream de 10nm não deveriam ser esperados antes do segundo semestre de 2019.[61]

Para projetos idênticos, esses encolhedores de matriz usariam menos corrente (e energia) na mesma frequência (ou tensão). Como as CPUs geralmente são limitadas em energia (normalmente até ~ 125 W ou ~ 45 W para dispositivos móveis), transistores menores permitem menor potência na mesma frequência ou maior frequência na mesma potência.[62]

Performance[editar | editar código-fonte]

Um dos principais objetivos do Zen em 2016 era focar no desempenho por núcleo e visava uma melhoria de 40% nas instruções por ciclo (IPC) em relação ao seu antecessor.[63] O Excavator, em comparação, oferecia uma melhoria de 4 a 15% em relação às arquiteturas anteriores.[64][65] A AMD anunciou que a microarquitetura Zen final alcançou 52% de melhoria no IPC em relação ao Excavator.[66] A inclusão do SMT também permite que cada núcleo processe até dois threads, aumentando o throughput de processamento pelo melhor uso dos recursos disponíveis.

Os processadores Zen também empregam sensores no chip para dimensionar dinamicamente a frequência e a tensão.[67] Isso permite que a frequência máxima seja definida de forma dinâmica e automática pelo próprio processador com base no resfriamento disponível.

A AMD demonstrou um processador Zen de 8 núcleos/16 threads superando um processador Intel Broadwell-E com clock igual em renderização Blender[3][9] e benchmarks HandBrake.[67]

O Zen suporta AVX2, mas requer dois ciclos de clock para completar cada instrução AVX2 em comparação com a da Intel.[68][69] Essa diferença foi corrigida no Zen 2.

Memória[editar | editar código-fonte]

Zen suporta memória DDR4 (até oito canais)[70] e ECC.[71]

Relatórios de pré-lançamento afirmaram que as APUs usando a arquitetura Zen também suportariam High Bandwidth Memory (HBM).[72] No entanto, a primeira APU demonstrada não usava HBM.[73] As APUs anteriores da AMD contavam com memória compartilhada tanto para a GPU quanto para a CPU.

Consumo de energia e saída de calor[editar | editar código-fonte]

Os processadores construídos no nó de 14 nm no silício FinFET devem mostrar um consumo de energia reduzido e, portanto, aquecer em relação aos predecessores não FinFET de 28 nm e 32 nm (para projetos equivalentes) ou ser mais computacionalmente poderosos na saída de calor/consumo de energia equivalente.

Zen também usa clock gating,[41] reduzindo a frequência de porções subutilizadas do núcleo para economizar energia. Isso vem da tecnologia SenseMI da AMD, usando sensores em todo o chip para dimensionar dinamicamente a frequência e a tensão.[67]

Segurança aprimorada e suporte à virtualização[editar | editar código-fonte]

O Zen adicionou suporte para Secure Memory Encryption (SME) da AMD e Secure Encrypted Virtualization (SEV) da AMD. Secure Memory Encryption é a criptografia de memória em tempo real feita por entrada da tabela de páginas. A criptografia ocorre em um mecanismo AES de hardware e as chaves são gerenciadas pelo processador "Security" integrado (ARM Cortex-A5) no momento da inicialização para criptografar cada página, permitindo que qualquer memória DDR4 (incluindo variedades não voláteis) seja criptografada. A AMD SME também torna o conteúdo da memória mais resistente a ataques de espionagem de memória e inicialização a frio.[74][75]

O SME pode ser usado para marcar páginas individuais de memória como criptografadas por meio das tabelas de páginas. Uma página de memória marcada como criptografada será descriptografada automaticamente quando lida da DRAM e será criptografada automaticamente quando gravada na DRAM. O recurso SME é identificado por meio de uma função CPUID e habilitado por meio do SYSCFG MSR. Uma vez habilitadas, as entradas da tabela de páginas determinarão como a memória é acessada. Se uma entrada da tabela de páginas tiver a máscara de criptografia de memória definida, essa memória será acessada como memória criptografada. A máscara de criptografia de memória (assim como outras informações relacionadas) é determinada a partir das configurações retornadas pela mesma função CPUID que identifica a presença do recurso.

[76]

O recurso Secure Encrypted Virtualization (SEV) permit que o conteúdo da memória de uma máquina virtual (VM) seja criptografado de forma transparente com uma chave exclusiva para a VM convidada. O controlador de memória contém um mecanismo de criptografia de alto desempenho que pode ser programado com várias chaves para uso por diferentes VMs no sistema. A programação e o gerenciamento dessas chaves são feitos pelo firmware do AMD Secure Processor, que expõe uma API para essas tarefas.[77]

Conectividade[editar | editar código-fonte]

Incorporando grande parte da ponte sul no SoC, a CPU Zen inclui links SATA, USB e PCI Express NVMe.[78][79] Isso pode ser aumentado pelos chipsets Socket AM4 disponíveis, que adicionam opções de conectividade, incluindo conexões SATA e USB adicionais, e suporte para Crossfire da AMD e SLI da Nvidia.[80]

A AMD, ao anunciar sua linha Radeon Instict, argumentou que a próxima CPU de servidor Naples baseada em Zen seria particularmente adequada para a construção de sistemas de aprendizado profundo.[81][82] As 128[83] pistas PCIe por CPU Naples permitem que oito placas Instict se conectem em PCIe x16 a uma única CPU. Isso se compara favoravelmente à linha Intel Xeon, com apenas 40 pistas PCIe.[carece de fontes?]

Características[editar | editar código-fonte]

CPUs[editar | editar código-fonte]

Tabela de recursos de CPU

APUs[editar | editar código-fonte]

Tabela de recursos de APU


Produtos[editar | editar código-fonte]

A arquitetura Zen é usada nas CPUs Ryzen de desktop da geração atual. Também sestá em processadores de servidor Epyc (sucessor dos processadores Opteron) e APUs.[84][85]

Esperava-se inicialmente que os primeiros processadores de desktop sem unidades de processamento gráfico (codinome "Summit Ridge") começassem a ser vendidos no final de 2016, de acordo com um roteiro da AMD; com os primeiros processadores móveis e de desktop do tipo AMD Accelerated Processing Unit (codinome "Raven Ridge") no final de 2017.[86] A AMD atrasou oficialmente o Zen até o primeiro trimestre de 2017. Em agosto de 2016, uma demonstração inicial da arquitetura mostrou um CPU deamostra de engenharia de 8 núcleos/16 threads a 3,0GHz.[9]

Em dezembro de 2016, a AMD anunciou oficialmente a linha de CPU de desktop sob a marca Ryzen para lançamento no primeiro trimestre de 2017. Também confirmou que os processadores de servidor seriam lançados no segundo trimestre de 2017 e as APUs móveis no segundo semestre de 2017.[87]

Em 2 de maio de 2017, a AMD lançou oficialmente as primeiras CPUs de desktpo Ryzen octacore baseadas na arquitetura Zen. As velocidades de clock finais e TDPs para as 3 CPUs lançadas no primeiro trimestre de 2017 demonstraram benefícios significativos de desempenho por watt em relação a arquitetura K15h (Piledriver) anterior.[88][89] As CPUs de desktop Ryzen octacores demonstraram desempenho por watt comparável às CPUs octacore Broadwell da Intel.[90][91]

Em março de 2017, a AMD também demonstrou uma amostra de engenharia de uma CPU de servidor baseada na arquitetura Zen. A CPU (codinome "Naples") foi configurada como uma plataforma de servidor dual-socket com cada CPU tendo 32 núcleos/64 threads.[3][9]

Processadores de desktop[editar | editar código-fonte]

Ver artigo principal: Ryzen

Recursos comuns das CPUs de desktop Ryzen 1000:

  • Socket: AM4.
  • Todas as CPUs suportam DDR4-2666 no modo dual-channel.
  • Cache L1: 96 KB (32 KB de dados + 64 KB de instrução) por núcleo.
  • Cache L2: 512 KB por núcleo.
  • Todas as CPUs suportam 24 pistas PCIe 3.0. 4 das pistas são reservadas como link para o chipset.
  • Sem gráficos integrados.
  • Processo de nó/fabricação: GlobalFoundries 14LP.
Marca e Modelo Cores
(threads)
Solução térmica Taxa de clock (GHz) Cache L3
(total)
TDP Core
config[i]
Data de lançamento
Base PBO
1–2
(≥3)
XFR[92]
1–2
Ryzen 7 1800X[93] 8 (16) Wraith Max (somente OEM) 3.6 4.0
(3.7)
4.1 16 MB 95 W 2 × 4 2 de março de 2017
PRO 1700X[94] Wraith Spire 3.4 3.8
(3.5)
3.9 29 de junho de 2017
1700X[95] Wraith Max (somente OEM) 2 de março de 2017
PRO 1700[96] Wraith Spire 3.0 3.7
(3.2)
3.75 65 W 29 de junho de 2017
1700[97] Wraith Spire LED (retail)
Wraith Spire (OEM)
2 de março de 2017
Ryzen 5 1600X[98] 6 (12) Wraith Max (somente OEM) 3.6 4.0
(3.7)
4.1 95 W 2 × 3 11 de abril de 2017
1600[99] Wraith Spire 3.2 3.6
(3.4)
3.7 65 W 29 de junho de 2017
1600[100] 11 de abril de 2017
1500X[101] 4 (8) 3.5 3.7
(3.6)
3.9 2 × 2
PRO 1500[102] 29 de junho de 2017
1400[103] Wraith Stealth 3.2 3.4
(3.4)
3.45 8 MB 11 de abril de 2017
Ryzen 3 1300X[104] 4 (4) 3.5 3.7
(3.5)
3.9 27 de junho de 2017
PRO 1300[105] Wraith Spire 29 de junho de 2017
PRO 1200[106] 3.1 3.4
(3.1)
3.45
1200[107] Wraith Stealth 17 de julho de 2017
  1. Core Complexes (CCX) × cores por CCX

Recursos comuns das CPUs Ryzen 1000 HEDT:

  • Socket: TR4.
  • Todas as CPUs suportam DDR4-2666 no modo quad-channel.
  • Cache L1: 96 KB (32 KB de dados + 64 KB de instrução) por núcleo.
  • Cache L2: 512 KB por núcleo.
  • Todas as CPUs suportam 64 pistas PCIe 3.0. 4 das pistas são reservadas como link para o chipset.
  • Sem gráficos integrados.
  • Nó/processo de fabricação: GlobalFoundries 14LP.
Marca e Modelo Cores
(threads)
Taxa de clock (GHz) Cache L3
(total)
TDP Chiplets Core
config[i]
Data de
lançamento
MSRP
Base PBO
1–4
(≥5)
XFR[108]
1–2
Ryzen
Threadripper
1950X[109] 16 (32) 3.4 4.0
(3.7)
4.2 32 MB 180 W 2 × CCD [ii] 4 × 4 31 de agosto de 2017 US $999
1920X[110] 12 (24) 3.5 4 × 3 US $799
1900X[111] 8 (16) 3.8 4.0
(3.9)
16 MB 2 × 4 US $549
  1. Core Complexes (CCX) × cores por CCX
  2. Na verdade, o pacote do processador contém duas matrizes inativas adicionais para fornecer suporte estrutural ao dissipador de calor integrado.
CPU Ryzen 5 1600 em uma placa-mãe
Threadripper 1950X TR4 no soquete

APUs de desktop[editar | editar código-fonte]

As APUs Ryzen são identificadas pelo sufixo G ou GE em seu nome.

Die shot de uma APU AMD 2200G
Modelo Data de lançamento
e preço
Fab CPU GPU Socket Pistas PCIe Suporte de memória
DDR4
TDP
(W)
Cores
(threads)
Taxa de clock (GHz) Cache Modelo Config[nota 1] Clock
(GHz)
Poder de
processamento
(GFLOPS)[nota 2]
Base Boost L1 L2 L3
Athlon 200GE[112] 6 de setembro de 2018
US $55
GloFo
14LP
2 (4) 3.2 64 KB inst.
32 KB data
por core
512 KB
por core
4 MB Vega 3 192:12:4
3 CU
1.0 384 AM4 16 (8+4+4) 2667
dual-channel
35
Athlon Pro 200GE[113] 6 de setembro de 2018
OEM
Athlon 220GE[114] December 21 de dezembro de 2018
US $65
3.4
Athlon 240GE[115] 21 de dezembro de 2018
US $75
3.5
Athlon 3000G[116] 19 de novembro de 2019
US $49
1.1 424.4
Athlon 300GE[117] 7 de julho de 2019
OEM
3.4
Athlon Silver 3050GE[118] 21 de julho de 2020
OEM
Ryzen 3 2200GE[119] 19 de abril de 2018
OEM
4 (4) 3.2 3.6 Vega 8 512:32:16
8 CU
1126 2933
dual-channel
Ryzen 3 Pro 2200GE[120] 10 de maio de 2018
OEM
Ryzen 3 2200G February 12, 2018
US $99
3.5 3.7 45–
65
Ryzen 3 Pro 2200G[121] 10 de maio de 2018
OEM
Ryzen 5 2400GE[122] 19 de abril de 2018
OEM
4 (8) 3.2 3.8 RX Vega 11 704:44:16 1.25 1760 35
Ryzen 5 Pro 2400GE[123] 10 de maio de 2018
OEM
Ryzen 5 2400G[124] 12 de fevereiro de 2018[125][126]
US $169
3.6 3.9 45–
65
Ryzen 5 Pro 2400G[127] 10 de maio de 2018
OEM
  1. Shaders unificados : Unidades de mapeamento de textura : Unidades de saída de renderização e unidades de computação (CU)
  2. O desempenho de precisão simples é calculado a partir da velocidade de clock do núcleo base (ou boost) com base em uma operação FMA.


APUs Mobile[editar | editar código-fonte]

Modelo Data de lançamento
e preço
Fab CPU GPU Socket Pistas PCIe Suporte de memória TDP
Cores
(threads)
Taxa de clock (GHz) Cache Modelo Config[nota 1] Clock Poder de
processamento
(GFLOPS)[nota 2]
Base Boost L1 L2 L3
Athlon Pro 200U [128] 2019 GloFo
14LP
2 (4) 2.3 3.2 64 KB inst.
32 KB data
por core
512 KB
por core
4 MB Vega 3 192:12:4
3 CU
1000 MHz 384 FP5 12 (8+4) DDR4-2400
dual-channel
12–25 W
Athlon 300U [129] 6 de janeiro de 2019 2.4 3.3
Ryzen 3 2200U [130] 8 de janeiro de 2018 2.5 3.4 1100 MHz 422.4
Ryzen 3 3200U [131] 6 de janeiro de 2019 2.6 3.5 1200 MHz 460.8
Ryzen 3 2300U [132] 8 de janeiro de 2018 4 (4) 2.0 3.4 Vega 6 384:24:8
6 CU
1100 MHz 844.8
Ryzen 3 Pro 2300U [133] 15 de maio de 2018
Ryzen 5 2500U [134] 26 de outubro de 2017 4 (8) 3.6 Vega 8 512:32:16
8 CU
1126.4
Ryzen 5 Pro 2500U [135] 15 de maio de 2018
Ryzen 5 2600H [136] 10 de setembro de 2018 3.2 DDR4-3200
dual-channel
35–54 W
Ryzen 7 2700U [137] 26 de outubro de 2017 2.2 3.8 Vega 10 640:40:16
10 CU
1300 MHz 1664 DDR4-2400
dual-channel
12–25 W
Ryzen 7 Pro 2700U [138] 15 de maio de 2018
Ryzen 7 2800H [139] 10 de setembro de 2018 3.3 Vega 11 704:44:16
11 CU
1830.4 DDR4-3200
dual-channel
35–54 W
  1. Shaders unificados : Unidades de mapeamento de textura : Unidades de saída de renderização e unidades de computação (CU)
  2. O desempenho de precisão simples é calculado a partir da velocidade de clock do núcleo base (ou boost) com base em uma operação FMA.


Processadores Integrados[editar | editar código-fonte]

Em fevereiro de 2018, a AMD anunciou a série V1000 de APUs Zen+Vega incorporados com quatro SKUs.[140]

Modelo Data de lançamento
e preço
Fab CPU GPU Suporte de memória TDP Temperatura
de
junção

(°C)
Cores
(Thread)
Taxa de clock (GHz) Cache Modelo Config[i] Clock
(GHz)
Poder de
processamento
(GFLOPS)[ii]
Base Boost L1 L2 L3
V1202B[141] fevereiro de 2018 GloFo
14LP
2 (4) 2.3 3.2 64 KB inst.
32 KB data
por core
512 KB
por core
4 MB Vega 3 192:12:16
3 CU
1.0 384 DDR4-2400
dual-channel
12–25 W 0–105
V1404I[141] dezembro de 2018 4 (8) 2.0 3.6 Vega 8 512:32:16
8 CU
1.1 1126.4 -40–105
V1500B[141] 2.2 0–105
V1605B[141] fevereiro de 2018 2.0 3.6 Vega 8 512:32:16
8 CU
1.1 1126.4
V1756B[141] 3.25 DDR4-3200
dual-channel
35–54 W
V1780B[141] dezembro de 2018 3.35
V1807B[141] fevereiro de 2018 3.8 Vega 11 704:44:16
11 CU
1.3 1830.4


Processadores de servidor[editar | editar código-fonte]

Ver artigo principal: EPYC
Epyc

A AMD anunciou em março de 2017 que lançaria uma plataforma de servidor baseada em Zen, codinome Naples, no segundo trimestre do ano. A plataforma inclui sistemas de 1 e 2 soquetes. As CPUs em configurações de multiprocessadores se comunicam via Infinity Fabric da AMD.[142] Cada chip suporta oito canais de memória e 128 pistas PCIe 3.0, das quais 64 pistas são usadas para comunicação CPU-a-CPU através do Infinity Fabric quando instalado em uma configuração de processador duplo.[143] A AMD revelou oficialmente Naples sob a marca Epyc em maio de 2017.[144]

Em 20 de junho de 2017, a AMD lançou oficialmente as CPUs da série Epyc 7000 em um evento de lançamento em Austin, Texas.[145]

Modelo Data de lançamento
e preço
Fab Chiplets Cores
(threads)
Core config[nota 1] Taxa de clock (GHz) Cache Socket &
configuração
Pistas PCIe Suporte de memória TDP
Base Boost L1 L2 L3
All-core Max
EPYC 7351P[146][147][148] Junho de 2017[149]
US $750
14 nm 4 × CCD &0000000000000016.00000016 (32) 8 × 2 2.4 2.9 64 KB inst.
32 KB data
por core
512 KB
por core
64 MB
8 MB por CCX
SP3
1P
128 DDR4-2666
8 channels
155/170 W
EPYC 7401P[146] [147][148] Junho de 2017[149]
US $1075
&0000000000000024.00000024 (48) 8 × 3 2.0 2.8 3.0
EPYC 7551P[146][147][148] Junho de 2017[149]
US $2100
&0000000000000032.00000032 (64) 8 × 4 2.55 180 W
EPYC 7251[146][147][148] Junho de 2017[149]
US $475
&0000000000000008.0000008 (16) 8 × 1 2.1 2.9 32 MB
4 MB por CCX
SP3
2P
DDR4-2400
8 channels
120 W
EPYC 7261[150] Meados de 2018
US $700+
2.5 64 MB
8 MB por CCX
DDR4-2666
8 channels
155/170 W
EPYC 7281[146][147][148] Junho de 2017[149]
US $650
&0000000000000016.00000016 (32) 8 × 2 2.1 2.7 32 MB
4 MB por CCX
EPYC 7301[146][147][148] Junho de 2017[149]
US $800+
2.2 64 MB
8 MB por CCX
EPYC 7351[146][147][148] Junho 2017[149]
US $1100+
2.4 2.9 2.9
EPYC 7371[151] Final de 2018
US $1550+
3.1 3.6 3.8 180 W
EPYC 7401[146][147][148] Junho de 2017[149]
US $1850
&0000000000000024.00000024 (48) 8 × 3 2.0 2.8 3.0 155/170 W
EPYC 7451[146][147][148] Junho de 2017[149]
US $2400+
2.3 2.9 3.2 180 W
EPYC 7501[146][147][148] Junho de 2017[149]
US $3400
&0000000000000032.00000032 (64) 8 × 4 2.0 2.6 3.0 155/170 W
EPYC 7551[146][147][148] Junho de 2017[149]
US $3400+
2.55 180 W
EPYC 7571 Final de 2018
N/A
2.2 ? 200 W?
EPYC 7601[146][147][148] Junho de 2017[149]
US $4200
2.7 3.2 180 W
  1. Complexos de núcleo ativo (CCX) × núcleos ativos por CCX.


Processadores Integrados de servidor[editar | editar código-fonte]

Em fevereiro de 2018, a AMD também anunciou a série Epyc 3000 de CPUs Zen incorporados.[152]

Modelo Data de lançamento
e preço
Fab Chiplets Cores
(threads)
Core Config[nota 1] Taxa de clock (GHz) Cache Socket Pistas PCIe Ethernet Suporte de memória TDP Temperatura de junção (°C)
Base Boost L1 L2 L3
All-core Max
EPYC 3101 Fevereiro de 2018 14 nm 1 x CCD 4 (4) 1 × 4 2.1 2.9 2.9 64 KB inst.
32 KB data
por core
512 KB
por core
8 MB SP4r2 32 4 × 10GbE DDR4-2666
dual-channel
35 W 0-95
EPYC 3151 4 (8) 2 × 2 2.7 2.9 2.9 16 MB
8 MB por CCX
45 W
EPYC 3201 8 (8) 2 × 4 1.5 3.1 3.1 DDR4-2133
dual-channel
30 W
EPYC 3251 8 (16) 2.5 3.1 3.1 DDR4-2666
dual-channel
55 W 0-105
EPYC 3255 Desconhecido 25-55 W -40-105
EPYC 3301 Fevereiro de 2018 2 x CCD 12 (12) 4 × 3 2.0 2.15 3.0 32 MB
8 MB por CCX
64 8 × 10GbE DDR4-2666
quad-channel
65 W 0-95
EPYC 3351 12 (24) 1.9 2.75 3.0 SP4 60-80 W 0-105
EPYC 3401 16 (16) 4 × 4 1.85 2.25 3.0 SP4r2 85 W
EPYC 3451 16 (32) 2.15 2.45 3.0 SP4 80-100 W
  1. Core Complexes (CCX) × cores per CCX


Ver também[editar | editar código-fonte]

Referências

  1. a b c «GlobalFoundries announces 14nm validation with AMD Zen silicon». ExtremeTech. Consultado em 29 de agosto de 2022. Cópia arquivada em 7 de dezembro de 2016 
  2. «AMD Ryzen™ 7 Desktop Processors Featuring Record-Breaking Overclocking Performance Available Worldwide Today» (Nota de imprensa). Sunnyvale, California: Advanced Micro Devices, Inc. 2 de março de 2017. Consultado em 29 de agosto de 2022. Cópia arquivada em 28 de outubro de 2021 
  3. a b c d e Anthony, Sebastian (18 de agosto de 2016). «AMD says Zen CPU will outperform Intel Broadwell-E, delays release to 2017». Ars Technica. Consultado em 29 de agosto de 2022. Cópia arquivada em 29 de agosto de 2016 
  4. «Details of AMD Zen 16-core x86 APU emerge». 13 de abril de 2015. Consultado em 29 de agosto de 2022. Cópia arquivada em 2 de maio de 2016 
  5. «AMD Zen-based 8-core Desktop CPU Arrives in 2016, on Socket FM3». TechPowerUp. Consultado em 29 de agosto de 2022. Cópia arquivada em 2 de março de 2016 
  6. Kampman, Jeff (16 de maio de 2017). «Ryzen Threadripper CPUs will offer 16 cores and 32 threads». Tech Report. Consultado em 29 de agosto de 2022. Cópia arquivada em 17 de maio de 2017 
  7. Kennedy, Patrick (16 de maio de 2017). «AMD EPYC New Details on the Emerging Server Platform». Serve the Home. Consultado em 29 de agosto de 2022. Cópia arquivada em 6 de junho de 2017 
  8. a b Ryan Smith (6 de maio de 2015). «AMD's 2016-2017 x86 Roadmap: Zen Is In, Skybridge Is Out». AnandTech. Consultado em 29 de agosto de 2022. Cópia arquivada em 8 de maio de 2015 
  9. a b c d Kampman, Jeff (18 de agosto de 2016). «AMD gives us our first real moment of Zen». Tech Report. Consultado em 29 de agosto de 2022. Cópia arquivada em 18 de novembro de 2016 
  10. Cutress, Ian. «AMD's Future in Servers: New 7000-Series CPUs Launched and Epyc Analysis». AnandTech. Consultado em 8 de agosto de 2017. Cópia arquivada em 21 de junho de 2017 
  11. «HP ENVY x360 Convertible Laptop - 15z touch - HP® Official Store». store.hp.com. Consultado em 29 de agosto de 2022. Cópia arquivada em 10 de dezembro de 2017 
  12. Brad Chacos (8 de janeiro de 2016). «AMD Zen-based CPUs and APUs will unify around Socket AM4». PCWorld. Consultado em 29 de agosto de 2022. Cópia arquivada em 2 de fevereiro de 2017 
  13. «Ryzen™ Threadripper™ Processors | AMD». www.amd.com (em inglês). Consultado em 29 de agosto de 2022. Cópia arquivada em 29 de setembro de 2017 
  14. «How AMD's powerful Zen chip flouts the SoC stereotype». PCWorld (em inglês). Consultado em 29 de agosto de 2022. Cópia arquivada em 6 de fevereiro de 2017 
  15. Cutress, Ian (18 de agosto de 2016). «Early AMD Zen Server CPU and Motherboard Details». Anandtech. Consultado em 29 de agosto de 2022. Cópia arquivada em 22 de março de 2017 
  16. AMD Shipped 260 Million Zen Cores by 2020 Arquivado em 2021-10-29 no Wayback Machine. AnandTech.
  17. «AMD Reveals Why Threadripper CPUs Have 4 Dies Under the Hood - ExtremeTech». Consultado em 29 de agosto de 2022. Cópia arquivada em 2 de novembro de 2020 
  18. «AMD Ryzen Threadripper Does Have Four 8-Core Dies (32-cores)». Consultado em 29 de agosto de 2022. Cópia arquivada em 2 de julho de 2018 
  19. «Overclocker delids an AMD Ryzen Threadripper chip and finds Epyc inside | PC Gamer». PC Gamer. Consultado em 29 de agosto de 2022. Cópia arquivada em 31 de outubro de 2020 
  20. «Weekend tech reading: AMD 'Zen' and their return to high-end CPUs, tracking Windows pirates - TechSpot». techspot.com. Consultado em 29 de agosto de 2022. Cópia arquivada em 11 de maio de 2015 
  21. «AMD: Zen chips headed to desktops, servers in 2016 - The Tech Report - Page 1». techreport.com. Consultado em 29 de agosto de 2022. Cópia arquivada em 9 de maio de 2015 
  22. Anton Shilov (11 de setembro de 2014). «AMD: 'Bulldozer' was not a game-changer, but next-gen 'Zen' will be». KitGuru. Consultado em 29 de agosto de 2022. Cópia arquivada em 4 de junho de 2016 
  23. Software Optimization Guide for AMD Family 17h Processors Arquivado em 2017-07-12 no Wayback Machine / AMD, June 2017
  24. «AMD Zen Confirmed for 2016, Features 40% IPC Improvement Over Excavator». Consultado em 29 de agosto de 2022. Cópia arquivada em 4 de março de 2016 
  25. Ian Cutress (2 de março de 2017). «The Core Complex, Caches, and Fabric». Consultado em 29 de agosto de 2022. Cópia arquivada em 25 de junho de 2017 
  26. Clark, Mike. «A New x86 Core Architecture for the Next Generation of Computing» (PDF). AMD. p. 7. Cópia arquivada (PDF) em 26 de novembro de 2016 
  27. Cutress, Ian. «AMD Zen Microarchitecture: Dual Schedulers, Micro-Op Cache and Memory Hierarchy Revealed». Consultado em 29 de agosto de 2022. Cópia arquivada em 19 de agosto de 2016 
  28. Mujtaba, Hassan. «AMD Opens The Lid on Zen Architectural Details at Hot Chips – Huge Performance Leap Over Excavator, Massive Throughput on 14nm FinFET Design». WCCFtech. Consultado em 29 de agosto de 2022. Cópia arquivada em 25 de agosto de 2016 
  29. Walrath, Josh. «AMD Zen Architecture Overview: Focus on Ryzen | PC Perspective». PC Perspective (em inglês). Consultado em 29 de agosto de 2022. Cópia arquivada em 12 de outubro de 2017 
  30. Jiménez, Daniel. «Strided Sampling Hashed Perceptron Predictor» (PDF). Texas A&M University. Consultado em 29 de agosto de 2022. Cópia arquivada (PDF) em 19 de setembro de 2016 
  31. Williams, Chris. «'Neural network' spotted deep inside Samsung's Galaxy S7 silicon brain». The Register. Consultado em 29 de agosto de 2022. Cópia arquivada em 19 de setembro de 2017 
  32. Fog, Agner. «The microarchitecture of Intel, AMD and VIA CPUs» (PDF). Technical University of Denmark. Consultado em 29 de agosto de 2022. Cópia arquivada (PDF) em 28 de março de 2017 
  33. a b c «AMD Starts Linux Enablement On Next-Gen "Zen" Architecture». Phoronix. 17 de março de 2015. Consultado em 29 de agosto de 2022. Cópia arquivada em 8 de março de 2017 
  34. «AMD Takes Computing to a New Horizon with Ryzen™ Processors». www.amd.com. Consultado em 29 de agosto de 2022. Cópia arquivada em 12 de junho de 2018 
  35. «Linux support for Power Measurement Interfaces». web.eece.maine.edu. Consultado em 29 de agosto de 2022. Arquivado do original em 5 de abril de 2018 
  36. Chen, Sam (24 de junho de 2017). «XFR». Custom PC Review. Consultado em 29 de agosto de 2022. Cópia arquivada em 26 de agosto de 2018 
  37. Lilly, Paul (23 de julho de 2016), «AMD Shipping Zen In Limited Quantity Q4, Volume Rollout Ramps Q1 2017», hothardware.com, consultado em 30 de agosto de 2022, cópia arquivada em 21 de abril de 2019, Zen is being built on an advanced GlobalFoundries-sourced 14nm FinFET process 
  38. Schor, David (22 de julho de 2018). «VLSI 2018: GlobalFoundries 12nm Leading-Performance, 12LP». WikiChip Fuse (em inglês). Consultado em 30 de agosto de 2022. Cópia arquivada em 7 de abril de 2019 
  39. a b «14nm AMD Zen CPU Will Have DDR4 and Simultaneous Multithreading». Softpedia. 28 de janeiro de 2015. Consultado em 30 de agosto de 2022. Cópia arquivada em 10 de março de 2015 
  40. «AMD's next gen CPU Zen». Shattered.Media. 9 de maio de 2015. Cópia arquivada em 17 de novembro de 2015 
  41. a b Cutress, Ian (18 de agosto de 2016). «AMD Zen Microarchitecture». Anandtech. Consultado em 30 de agosto de 2022. Cópia arquivada em 19 de agosto de 2016 
  42. AMD, "Guia de Otimização de Software para Processadores da Família AMD 17h"
  43. Jim Keller On AMD's Next-Gen High Performance x86 Zen Core & K12 ARM Core. YouTube. 7 de maio de 2014 
  44. «Jim Keller Leaves AMD». Anand tech. Consultado em 30 de agosto de 2022. Cópia arquivada em 15 de outubro de 2015 
  45. Ladendorf, Kirk. «Amid challenges, chipmaker AMD sees a way forward». Austin American-Statesman (em inglês). Consultado em 30 de agosto de 2022. Cópia arquivada em 4 de janeiro de 2020 
  46. Merritt, Rick (24 de agosto de 2016). «AMD Reveals Zen of X86». EE Times. Consultado em 30 de agosto de 2022. Cópia arquivada em 4 de março de 2017 
  47. TAKAHASHI, Dean (24 de agosto de 2016). «How AMD designed what could be its most competitive processors in a decade». VentureBeat. Consultado em 30 de agosto de 2022. Cópia arquivada em 4 de março de 2017 
  48. Wong, Adrian (18 de abril de 2017). «Joe Macri : The Disruptive Nature of AMD Ryzen». TechArp. Consultado em 20 de abril de 2017. Cópia arquivada em 22 de abril de 2017 
  49. «AMD set to release first 'Zen'-based microprocessors in late 2016 – document». KitGuru.net. 12 de junho de 2015. Consultado em 30 de agosto de 2022. Cópia arquivada em 13 de setembro de 2015 
  50. «OC3D :: Article :: AMD Tests Zen CPUs, "Met All Expectation" with no "Significant Bottlenecks" found :: AMD Tests Zen CPUs, Met All Expectation with no Significant Bottlenecks found». Consultado em 30 de agosto de 2022. Cópia arquivada em 4 de novembro de 2015 
  51. «Samsung to fab AMD Zen & Arctic islands on its 14 nm Finfet node», Tech power up, consultado em 30 de agosto de 2022, cópia arquivada em 9 de janeiro de 2016 
  52. Moorhead, Patrick (25 de julho de 2016). «AMD Officially Diversifies 14nm Manufacturing With Samsung». Forbes. Consultado em 30 de agosto de 2022. Cópia arquivada em 26 de julho de 2016 
  53. «First-Gen AMD Ryzen CPUs are Appearing with 12nm Zen+ Architecture». 22 de dezembro de 2019. Consultado em 30 de agosto de 2022. Cópia arquivada em 22 de dezembro de 2019 
  54. «AMD's next-gen CPU leak: 14nm, simultaneous multithreading, and DDR4 support». ExtremeTech. Consultado em 1 de setembro de 2022. Cópia arquivada em 25 de janeiro de 2016 
  55. Rulison, Larry (22 de agosto de 2016). «Reports: Chip made by GlobalFoundries beats Intel». Times Union. Consultado em 22 de agosto de 2016. Cópia arquivada em 1 de setembro de 2022 
  56. «AMD: We have taped out our first FinFET products». KitGuru. Consultado em 1 de setembro de 2022. Cópia arquivada em 25 de janeiro de 2016 
  57. «CES: AMD finally unveils 28nm APU Kaveri to battle Intel Haswell». The Inquirer. Cópia arquivada em 9 de janeiro de 2014 
  58. «Intel Kaby Lake to compete against AMD Zen at end of 2016». 2 de março de 2016. Consultado em 1 de setembro de 2022. Cópia arquivada em 6 de março de 2016. Intel's Kaby Lake-series processors, which are scheduled to launch in the third quarter, but will not begin volume production until the end of 2016, while AMD is set to release its Zen architecture-based processors at the end of the fourth quarter. 
  59. Edward Jones (21 de outubro de 2016). «AMD Zen: A serious challenge to Intel?». Channel Pro. Consultado em 1 de setembro de 2022. Cópia arquivada em 23 de junho de 2016 
  60. Manion, Wayne (8 de fevereiro de 2017). «AMD touts Zen die size advantage at ISSCC». Tech Report. Consultado em 1 de setembro de 2022. Cópia arquivada em 9 de fevereiro de 2017 
  61. «Intel says not to expect mainstream 10nm chips until 2H19 | Ars Technica». Consultado em 1 de setembro de 2022. Cópia arquivada em 29 de julho de 2018 
  62. «Intel's 'Tick-Tock' Seemingly Dead, Becomes 'Process-Architecture-Optimization'». Anandtech. Consultado em 1 de setembro de 2022. Cópia arquivada em 23 de março de 2016 
  63. Smith, Ryan (31 de maio de 2016). «AMD Briefly Shows Off Zen "Summit Ridge" Silicon». Consultado em 1 de setembro de 2022. Cópia arquivada em 5 de junho de 2016 
  64. «AMD Announces Zen, 40% IPC Improvement Over Excavator - Coming In 2016». 7 de maio de 2015. Consultado em 1 de setembro de 2022. Cópia arquivada em 5 de junho de 2016 
  65. Ian Cutress (2 de junho de 2015). «IPC Increases: Double L1 Data Cache, Better Branch Prediction - AMD Launches Carrizo: The Laptop Leap of Efficiency and Architecture Updates». Anandtech. Consultado em 1 de setembro de 2022. Cópia arquivada em 16 de fevereiro de 2016 
  66. Cutress, Ian (22 de fevereiro de 2017). «AMD Launches Zen». Anandtech.com. Consultado em 1 de setembro de 2022. Cópia arquivada em 27 de fevereiro de 2017 
  67. a b c Kampman, Jeff (13 de dezembro de 2016). «AMD crests Summit Ridge with Ryzen CPUs». TechReport. Consultado em 1 de setembro de 2022. Cópia arquivada em 14 de dezembro de 2016 
  68. Cutress, Ian. «AMD Zen Microarchiture Part 2: Extracting Instruction-Level Parallelism». Consultado em 1 de setembro de 2022. Cópia arquivada em 12 de março de 2017 
  69. Leadbetter, Richard (22 de fevereiro de 2017). «In Theory: How AMD's Ryzen will disrupt the gaming CPU market». Eurogamer. Consultado em 1 de setembro de 2022. Cópia arquivada em 9 de março de 2017 
  70. «AMD's Zen processors to feature up to 32 cores, 8-channel DDR4». TechSpot. Consultado em 1 de setembro de 2022. Cópia arquivada em 28 de fevereiro de 2016 
  71. MAC (30 de março de 2017). «ECC Memory & AMD's Ryzen - A Deep Dive». Hardware Canucks. Consultado em 1 de setembro de 2022. Cópia arquivada em 4 de julho de 2017 
  72. «Zen-based APU with HBM to be AMD Carrizo successor». Consultado em 1 de setembro de 2022. Cópia arquivada em 12 de janeiro de 2016 
  73. Shrout, Ryan (30 de maio de 2017). «Computex 2017: AMD Demos Ryzen Mobile SoC with Vega Graphics». PC Perspective. Consultado em 1 de setembro de 2022. Cópia arquivada em 22 de março de 2019 
  74. «[RFC PATCH v1 00/18] x86: Secure Memory Encryption (AMD)». Consultado em 3 de setembro de 2022. Cópia arquivada em 1 de maio de 2016 
  75. «AMD MEMORY ENCRYPTION WHITEPAPER» (PDF). Consultado em 3 de setembro de 2022. Cópia arquivada (PDF) em 9 de maio de 2016 
  76. «LKML - Tom Lendacky (AMD) explains AMD Secure Memory Encryption». Consultado em 9 de setembro de 2022. Cópia arquivada em 4 de agosto de 2016 
  77. «AMD - Other Developer Guides: Secure Encrypted Virtualization Key Management PDF – 05/19/2016» (PDF). Consultado em 9 de setembro de 2022. Cópia arquivada (PDF) em 25 de março de 2017 
  78. L, Alex; Walrath, Josh (12 de janeiro de 2017). «Podcast #432 - Kaby Lake, Vega, CES Review». PC Perspective. Consultado em 13 de setembro de 2022. Cópia arquivada em 28 de outubro de 2021 
  79. Mah Ung, Gordon (28 de setembro de 2016). «How AMD's powerful Zen chip flouts the SoC stereotype». PC World. Consultado em 13 de setembro de 2022. Cópia arquivada em 6 de fevereiro de 2017 
  80. Justin, Michael; Sexton, Allen (3 de março de 2017). «AMD's AM4 Ryzen Chipsets». Tom's Hardware. Consultado em 13 de setembro de 2022 
  81. Smith, Ryan (12 de dezembro de 2016). «AMD Announces Radeon Instinct: GPU Accelerators for Deep Learning, Coming in 2017». Anandtech. Consultado em 13 de setembro de 2022. Cópia arquivada em 12 de dezembro de 2016 
  82. Shrout, Ryan (12 de dezembro de 2016). «Radeon Instinct Machine Learning GPUs include Vega, Preview Performance». PC Per. Consultado em 13 de setembro de 2022. Cópia arquivada em 11 de agosto de 2017 
  83. Mujtaba, Hassan (7 de março de 2017). «AMD Naples High-Performance Server Chips With 32 Cores, 64 Threads Detailed». Wccftech (em inglês). Consultado em 13 de setembro de 2022. Cópia arquivada em 24 de novembro de 2018 
  84. «AMD Zen FX CPUs, APUs Release Details Surface, Top-Notch Performance In The Cards». Tech Times. Consultado em 13 de setembro de 2022. Cópia arquivada em 25 de dezembro de 2015 
  85. «32-core AMD Opteron to feature quad-die MCM design». KitGuru. Consultado em 13 de setembro de 2022. Cópia arquivada em 25 de janeiro de 2016 
  86. Mark Mantel (7 de fevereiro de 2017). «CPU-Roadmap 2017 - 2018: Künftige AMD- und Intel-CPUs/-APUs in der Übersicht». PC Games Hardware (em alemão). Consultado em 13 de setembro de 2022. Cópia arquivada em 1 de março de 2017 
  87. Larabel, Michael (13 de dezembro de 2016). «AMD Reveals More Zen CPU Details, Officially Known As Ryzen, No Linux Details Yet». Phoronix. Consultado em 13 de setembro de 2022. Cópia arquivada em 13 de setembro de 2022 
  88. «AMD FX-8350 Review: Does Piledriver Fix Bulldozer's Flaws?». Tom's Hardware (em inglês). 22 de outubro de 2012. Consultado em 13 de setembro de 2022 
  89. «AMD Ryzen 7 1800X: Power Consumption And Temperatures». Tom's Hardware (em inglês). 2 de março de 2017. Consultado em 13 de setembro de 2022 
  90. «AMD Ryzen 7 1800X and AM4 Platform Review». bit-tech (em inglês). Consultado em 13 de setembro de 2022. Cópia arquivada em 13 de março de 2017 
  91. «The AMD Ryzen 7 1800X Review: Now and Zen | Power Consumption and Conclusions». www.pcper.com (em inglês). Consultado em 13 de setembro de 2022. Cópia arquivada em 3 de julho de 2017 
  92. Chen, Sam (13 de fevereiro de 2020). «What is XFR? (AMD)». Gear Primer (em inglês). Consultado em 6 de novembro de 2020 
  93. «AMD Ryzen 7 1800X Processor». AMD 
  94. https://www.amd.com/en/product/2011
  95. «AMD Ryzen 7 1700X Processor». AMD 
  96. «AMD Ryzen 7 PRO 1700 Processor». AMD 
  97. «AMD Ryzen 7 1700 Processor». AMD 
  98. «AMD Ryzen 5 1600X Processor». AMD 
  99. «AMD Ryzen 5 PRO 1600 Processor». AMD 
  100. «AMD Ryzen 5 1600 Processor». AMD 
  101. «AMD Ryzen 5 1500X Processor». AMD 
  102. «AMD Ryzen 5 PRO 1500 Processor». AMD 
  103. «AMD Ryzen 5 1400 Processor». AMD 
  104. «AMD Ryzen 3 1300X Processor». AMD 
  105. https://www.amd.com/en/product/2031
  106. https://www.amd.com/en/product/2036
  107. «AMD Ryzen 3 1200 Processor». AMD 
  108. Chen, Sam (13 de fevereiro de 2020). «What is XFR? (AMD)». Gear Primer (em inglês). Consultado em 3 de fevereiro de 2023 
  109. «AMD Ryzen Threadripper 1950X Processor». AMD 
  110. «AMD Ryzen Threadripper 1920X Processor». AMD 
  111. «AMD Ryzen Threadripper 1900X Processor». AMD 
  112. «AMD Athlon 200GE Processor with Radeon Vega 3 Graphics». AMD 
  113. «AMD Athlon PRO 200GE APU». AMD 
  114. «AMD Athlon 220GE Processor with Radeon Vega 3 Graphics» 
  115. «AMD Athlon 240GE Processor with Radeon Vega 3 Graphics». AMD 
  116. «AMD Athlon 3000G Processor with Radeon Graphics». AMD 
  117. «AMD Athlon 300GE» 
  118. «AMD Athlon Silver 3050GE» 
  119. «AMD Ryzen 3 2200GE with Radeon Vega 8 Graphics». AMD 
  120. «AMD Ryzen 3 PRO 2200GE Processor with Radeon Vega 8 Graphics» 
  121. «AMD Ryzen 3 PRO 2200G Processor with Radeon Vega 8 Graphics». www.amd.com 
  122. «AMD Ryzen™ 5 2400GE with Radeon™ RX Vega 11 Graphics». www.amd.com. Consultado em 20 de setembro de 2022 
  123. «AMD Ryzen™ 5 PRO 2400GE Processor with AMD Radeon™ Vega 11 Graphics». www.amd.com. Consultado em 20 de setembro de 2022 
  124. «AMD Ryzen™ 5 2400G Processor with AMD Radeon™ RX Vega 11 Graphics». Consultado em 20 de setembro de 2022 
  125. «AMD's 2nd-gen Ryzen is coming in April, desktop Ryzen APUs arrive February 12». TechSpot. Consultado em 20 de setembro de 2022 
  126. Peter Bright - Jan 8, 2018 9:50 pm UTC (8 de janeiro de 2018). «AMD's 2018 roadmap: Desktop APUs in February, second-generation Ryzen in April». Ars Technica. Consultado em 20 de setembro de 2022 
  127. «AMD Ryzen™ 5 PRO 2400G with AMD Radeon™ Vega 11 Graphics». www.amd.com. Consultado em 20 de setembro de 2022 
  128. «AMD Athlon PRO 200U Mobile Processor with Radeon Vega 3 Graphics». AMD 
  129. «AMD Athlon 300U Mobile Processor with Radeon Vega 3 Graphics». AMD 
  130. «AMD Ryzen 3 2200U». AMD 
  131. «AMD Ryzen 3 3200U Mobile Processor with Radeon Vega 3 Graphics». AMD 
  132. «AMD Ryzen 3 2300U». AMD 
  133. «AMD Ryzen 3 PRO 2300U». AMD 
  134. «AMD Ryzen 5 2500U». AMD 
  135. «AMD Ryzen 5 PRO 2500U». AMD 
  136. «AMD Ryzen 5 2600H Mobile Processor with Radeon Vega 8 Graphics» 
  137. «AMD Ryzen 7 2700U». AMD 
  138. «AMD Ryzen 7 PRO 2700U». AMD 
  139. «AMD Ryzen 7 2800H Mobile Processor with Radeon RX Vega 11 Graphics». AMD 
  140. Alcorn, Paul (21 de fevereiro de 2018). «AMD Launches Ryzen Embedded V1000, EPYC Embedded 3000 Processors». Tomshardware.com. Consultado em 21 de setembro de 2022 
  141. a b c d e f g «Embedded Processor Specifications». AMD 
  142. Kampman, Jeff (7 de março de 2017). «AMD's Naples platform prepares to take Zen into the datacenter». Tech Report. Consultado em 23 de setembro de 2022. Cópia arquivada em 18 de agosto de 2017 
  143. Cutress, Ian (7 de março de 2017). «AMD Prepares 32-Core Naples CPUs for 1P and 2P Servers: Coming in Q2». Anandtech. Consultado em 23 de setembro de 2022. Cópia arquivada em 11 de setembro de 2017 
  144. Kampman, Jeff (16 de maio de 2017). «AMD's Naples datacenter CPUs will make an Epyc splash». Tech Report. Consultado em 23 de setembro de 2022. Cópia arquivada em 17 de maio de 2017 
  145. «AMD launches broad Epyc server processor line with up to 32 cores per chip». VentureBeat. 20 de junho de 2017. Consultado em 23 de setembro de 2022. Cópia arquivada em 8 de agosto de 2017 
  146. a b c d e f g h i j k l «AMD EPYC 7000 Series Processors: Leading Performance for the Cloud Era» (PDF). Advanced Micro Devices, Inc. Agosto de 2018. p. 2 
  147. a b c d e f g h i j k l Cutress, Ian (20 de junho de 2017). «AMD's Future in Servers: New 7000-Series CPUs Launched and EPYC Analysis». Anand Tech. Consultado em 22 de setembro de 2022 
  148. a b c d e f g h i j k l Cutress, Ian (20 de junho de 2017). «AMD EPYC Launch Event Live Blog». Anand Tech. Consultado em 22 de setembro de 2022 
  149. a b c d e f g h i j k l Kennedy, Patrick (16 de maio de 2017). «AMD EPYC New Details on the Emerging Server Platform». Serve The Home. Consultado em 22 de setembro de 2022 
  150. «AMD EPYC 7261 | AMD». www.amd.com. Consultado em 22 de setembro de 2022 
  151. «AMD PS7371BEVGPAF EPYC 7371 3.1GHz 16-Core». www.gamepc.com. Consultado em 22 de setembro de 2022 
  152. Alcorn, Paul (21 de fevereiro de 2018). «AMD Launches Ryzen Embedded V1000, EPYC Embedded 3000 Processors». tom's HARDWARE. Consultado em 23 de setembro de 2022